Open Access Article
This Open Access Article is licensed under a Creative Commons Attribution-Non Commercial 3.0 Unported Licence

A novel water developable tetraphenyltin-based nonchemically-amplified molecular resist for sub-13 nm lithography

Zhihao Wang a, Jinping Chen *a, Tianjun Yu a, Yi Zeng a, Xudong Guo b, Shuangqing Wang b, Rui Hu b, Peng Tian c, Michaela Vockenhuber c, Dimitrios Kazazis c, Yasin Ekinci *c, Guoqiang Yang *b and Yi Li *a
aKey Laboratory of Photochemical Conversion and Optoelectronic Materials, Technical Institute of Physics and Chemistry, University of Chinese Academy of Sciences, Chinese Academy of Sciences, Beijing 100190, China. E-mail: chenjp@mail.ipc.ac.cn; yili@mail.ipc.ac.cn
bKey Laboratory of Photochemistry, Institute of Chemistry, University of Chinese Academy of Sciences, Chinese Academy of Sciences, Beijing 100190, China. E-mail: gqyang@iccas.ac.cn
cPaul Scherrer Institute, Laboratory for X-ray Nanoscience and Technologies, CH-5232 Villigen, Switzerland. E-mail: yasin.ekinci@psi.ch

Received 18th December 2023 , Accepted 8th February 2024

First published on 13th February 2024


Abstract

A single-component nonchemically-amplified resist (n-CAR) based on a tetraphenyltin derivative (SnMSF4) was developed for electron beam and extreme ultraviolet lithography. The structure of SnMSF4 was characterized by 1H NMR, 19F NMR, HRMS, FTIR spectroscopy, and elemental analysis. SnMSF4 exhibits good thermal stability, and its non-planar structure makes it have good film-forming performance, which makes it suitable for resist materials. SnMSF4 can be used as a negative resist developed with water (H2O), mixtures of ethanol (EtOH) and H2O (VEtOH[thin space (1/6-em)]:[thin space (1/6-em)]VH2O = 1[thin space (1/6-em)]:[thin space (1/6-em)]10–20), or mixtures of isopropyl alcohol (IPA) and H2O (VIPA[thin space (1/6-em)]:[thin space (1/6-em)]VH2O = 1[thin space (1/6-em)]:[thin space (1/6-em)]10–20). Electron beam lithography (EBL) studies revealed that 20 nm features were successfully patterned using the SnMSF4 resist. Moreover, the study of extreme ultraviolet lithography (EUVL) performance shows that 13 nm line/space (L/S) patterns were successfully patterned at a dose of 163 mJ cm−2 with a line edge roughness (LER) of 3.3 nm. Further studies on the underlying mechanisms showed that the solubility change of the SnMSF4 resist depends on the decomposition of the sulfonium group. This research will provide useful guidance for the research of high-resolution electron beam and EUV resist materials.


Introduction

Meeting the demand for high performance and miniaturization of electronic devices is still a great challenge for the semiconductor industry. In the past decades, improvements of electronic devices have benefited from the rapid development of lithography technology,1 which has evolved from ultraviolet and deep ultraviolet lithography to the most advanced method of extreme ultraviolet lithography (EUVL).2 Accordingly, the nodes of semiconductor devices have decreased from 10 μm to 3 nm. In addition, advanced lithography technologies, such as electron beam lithography (EBL) and ion beam lithography, are also widely used in research and small-scale production.3–7 These leading lithography technologies have already demonstrated their potential of patterning down to a single-digit nanometer resolution.6 Therefore, the development of high-quality patternable resist materials with a higher resolution and sensitivity is one of the most critical issues for advanced lithography.1,8,9

Chemically-amplified resists (CARs) have been widely used in the semiconductor industry for several decades due to their excellent sensitivity. However, the inherent acid diffusion blur in CAR films results in poor line edge roughness (LER), especially for patterns with a half-pitch (HP) below 20 nm. Compared with CARs, nonchemically-amplified resists (n-CARs) exhibit the potential to form high-resolution patterns due to the advantages of single-component characteristics and the lack of acid diffusion and issues due to delay after baking.4,10,11 Various types of n-CARs containing radiation-sensitive groups, e.g. sulfone,12 carbonate,13,14 oxalate,15 organic ligands of organometallic compounds,16–19 and sulfonium groups,10,20–23 have been reported. Whittaker et al. reported a series of chain-scission resist polymers with a highly degradable poly(1-pentene sulfone) backbone and PMMA arms. EUVL studies showed that the resist was capable of resolving 30 nm line/space (L/S) patterns.12 Blakey et al. designed a series of polycarbonate polymers and realized patterning with a feature size of 28.6 nm after development optimization.14 Inorganic oxalate compounds were developed by Brainard et al. for EUVL, and achieved 20 nm L/S patterns at doses approaching 30 mJ cm−2.15 In addition, metal organic compound resists, such as metal xanthates,6 tin-oxo clusters16 and heterometallic rings,19 can also be used for EBL or EUVL, and exhibit high resolution but low sensitivity. In recent years, resist materials containing sulfonium groups have been widely studied due to their higher resolution and moderate sensitivity. Gonsalves' group developed a series of negative-tone n-CARs bearing radiation-sensitive sulfonium groups,4,22–25 successfully demonstrating 15 nm features using MAPDST-TIPMA resists and EBL.4 In addition, well-resolved sub-15 nm nanofeatures with a low LER of ∼1.29 nm were achieved by using the MAPDST-co-ADSM resist. The sensitivity of MAPDST-co-ADSM can be improved by increasing the proportion of tin-containing ADSM monomers.23 Our previous research focused on molecular CARs based on bisphenol A,26 spirobifluorene,5 tetraphenylsilane,27 and adamantane derivatives3 for EBL and EUVL, achieving patterns with a high resolution and low LER. Recently, our research group reported a series of n-CARs bearing sulfonium groups based on polystyrene, in which PSTS0.7 achieved 13 nm L/S patterns through EUVL.10,20 By rational design of nonchemically-amplified molecular resists, which combine the advantages of molecular resists with the concept of non-CARs, we anticipate an enhancement in the sensitivity and resolution of the lithographic patterns.

In this work, a novel molecular n-CAR based on the dimethylsulfonium-functionalized tetraphenyltin (SnMSF4) was designed and synthesized, as shown in Fig. 1. The tin element acts as the electron or EUV absorber due to its high elemental absorption coefficient1,23 and the four dimethylphenyl sulfonium groups act as the photosensitive and solubility conversion groups. The tetrahedral tetraphenyltin as the core and the sulfonium group at the meta position are beneficial to the low crystallinity and good film-forming ability of the molecule. The lithographic performance of the SnMSF4 resist has been extensively evaluated by EBL and EUVL, achieving HPs of 20 and 13 nm line/space patterns developed in water, respectively.


image file: d3lf00254c-f1.tif
Fig. 1 Structure of SnMSF4.

Experimental section

Chemicals and reagents

All the standard reagents and chemicals were purchased from commercial sources and used without any further purification. The procedure for the synthesis of SnMSF4 is shown in Scheme S1 and the synthetic details of SnMSF4 are given in the ESI.

Analytical measurement

1H NMR and 19F NMR spectra were recorded using a Bruker Avance spectrometer in deuterated acetonitrile (CD3CN) or dichloromethane (CD2Cl2) as solvents at ambient temperature. High-resolution mass spectra (ESI) were measured on a Thermo Fisher Q-Exactive spectrometer. Thermogravimetric analysis (TGA) measurements were performed on a PerkinElmer TGA 4000, and the heating rate was 10 °C min−1 in a N2 atmosphere with a temperature range of 30–900 °C. Fourier transform infrared (FTIR) spectroscopy was performed using a Varian Excalibur 3100 FTIR spectrometer. Elemental analysis was performed using a Vario MACRO elementar.

Resist film preparation

The resist solutions were made by dissolving SnMSF4 in acetonitrile. After stirring for 10 min, the resist solution was filtered through a 0.2 μm Teflon filter to remove particles. The resist solutions were then spin-coated directly on bare Si wafers to get uniform films. The thin films were then prebaked at 100 °C for 120 s to remove any excess solvent.

Lithography and result analysis

The electron beam lithography tool, Vistec EBPG 5000plus ES, was utilized for EBL. EBL patterning was performed with an exposure energy of 100 keV with a 100 pA beam current. The thickness of the films in the e-beam experiments is 88 nm for sensitivity and contrast analysis and 30–40 nm for patterning. We carried out the EUV exposures on the XIL-II beamline of the Swiss Light Source at the Paul Scherrer Institute. The beamline and the EUV-IL endstation are described in detail elsewhere.28 The photon energy is set at 91.9 eV corresponding to 13.5 nm wavelength. For the L/S patterns, high-resolution two-beam interference masks are used with pitches (24–32 nm) on a wafer. The EUV exposures took place in vacuum at a pressure of ∼5 × 10−7 mbar. After the EUV exposures, the samples were developed with H2O, the mixtures of EtOH and H2O (VEtOH[thin space (1/6-em)]:[thin space (1/6-em)]VH2O = 1[thin space (1/6-em)]:[thin space (1/6-em)]10–20), or the mixtures of IPA and H2O (VIPA[thin space (1/6-em)]:[thin space (1/6-em)]VH2O = 1[thin space (1/6-em)]:[thin space (1/6-em)]10–20) and blow-dried with N2. The lithographic results are characterized by SEM and AFM. SEM images were captured using a Hitachi S8230 scanning electron microscope. The line width and line edge roughness (LER) were measured and calculated by analyzing the SEM images with ProSEM software. AFM images were captured using a Bruker Multimode 8 (Veco, USA).

Results and discussion

SnMSF4 is prepared through a two-step reaction using Sn(p-C6H4Br)4 as a starting material as shown in Fig. 1. The detailed synthesis process is shown in the Experimental section. The synthesized SnMSF4 is characterized by using 1H NMR, 19F NMR, HRMS, FTIR spectroscopy and elemental analysis (Fig. S2−S5). The 1H NMR spectra of SnMSF4 in CD3CN are shown in Fig. S2. It exhibits a singlet at 3.21 ppm for the methyl protons and a series of multiplets between 7.80 and 8.20 ppm for the phenyl protons. The anion and cation peaks of the SnMSF4 molecule were found at 148.9525 and 244.0566 in HRMS, respectively (Fig. S4), which is consistent with the calculated molecular weight (calcd. 148.9526 for [M]anion and 244.0566 for [M4+]cation). The FTIR spectrum of SnMSF4 (Fig. S5) shows a characteristic absorption at 1258 cm−1, which was assigned to CF3 stretching vibrations. The bands at 1158, 1030, and 640 cm−1 were attributed to the SO2 symmetric stretching, S–O stretching, and SO2 deformation, respectively.20 All these results confirmed the structure of SnMSF4 with the triflate anion and sulfonium cation.

Physical properties of SnMSF4

The thermal stability and film-forming ability of SnMSF4 were examined to assess its potential as a resist material. The thermal stability of SnMSF4 is investigated by thermogravimetric analysis (TGA) as shown in Fig. 2. Like most compounds with sulfonium groups,2,10,20 SnMSF4 exhibits high thermal stability, with a decomposition temperature of 279 °C (5% weight loss). Its excellent thermal stability can meet the requirements for the thermal stability of resist materials during lithography.
image file: d3lf00254c-f2.tif
Fig. 2 TGA curve of SnMSF4 at a heating rate of 10 °C min−1 under an N2 atmosphere.

Compared with polymer materials, compounds with a small molecular weight are more likely to crystallize, resulting in the inability to prepare resist films by spin coating.29,30 To confirm the film forming properties of SnMSF4, atomic force microscopy (AFM) is used to analyse the surface of the SnMSF4 resist film (thickness: ∼30 nm) prepared by spin coating. As shown in Fig. 3, the resist thickness fluctuates in the range of ±2 nm and the surface roughness (Rq) of the SnMSF4 film was only about 0.2 nm in an area of 5 × 5 μm2. The results show that the surface of the SnMSF4 resist film is smooth without crystallization, which meets the requirements of high-resolution lithography. The SnMSF4 molecule has a non-planar tetrahedral structure, and the sulfonium group at the meta position of the phenyl ring increases the disorder of the molecule due to the presence of configurational isomers.31 The non-planar structure and the conformational isomerism make SnMSF4 unfavorable to crystallization, exhibiting good film-forming ability.


image file: d3lf00254c-f3.tif
Fig. 3 AFM image of the SnMSF4 resist film.

EBL performance of the SnMSF4 resist

The EBL performance of the SnMSF4 resist is further studied. Our previous studies have demonstrated that the lithographic performance of n-CARs would be significantly affected by developers.20 Therefore, we analysed the contrast, sensitivity, and resolution of the SnMSF4 resist under different development conditions. The sensitivity and contrast values of the SnMSF4 resist under different developers were measured by the normalized remaining thickness (NRT) method (Fig. 4). The exposure layout is shown in Fig. 4a. A series of frames (1.5 × 1.5 μm2) were exposed on the SnMSF4 resist film with a gradually increasing e-beam dose. The starting dose was set at 50 μC cm−2, and the final dose was 7490 μC cm−2. The exposed wafer was developed in different developers to obtain the exposure patterns under different doses. The remaining resist thickness is measured by means of AFM for each exposure dose. The AFM measurements are shown in Fig. 4b–d. The remaining resist thickness is then plotted versus the exposure dose. These contrast curves fitted with a logistic function are shown in Fig. 4e. The SnMSF4 resist exhibits a characteristic of negative-tone development. The remaining resist thicknesses gradually increase with increasing exposure doses. The sensitivities (D50, the dose to 50% clear) of the SnMSF4 resist are 1380, 1750 and 1760 μC cm−2 developed in H2O, the mixture of ethanol (EtOH) and H2O (VEtOH[thin space (1/6-em)]:[thin space (1/6-em)]VH2O = 1[thin space (1/6-em)]:[thin space (1/6-em)]10), and the mixture of isopropyl alcohol (IPA) and H2O (VIPA[thin space (1/6-em)]:[thin space (1/6-em)]VH2O = 1[thin space (1/6-em)]:[thin space (1/6-em)]10), respectively. Obviously, the SnMSF4 resist exhibits a higher sensitivity when water is used as the developer. This is because when organic solvents such as ethanol or isopropanol are added to the developer, the developer shows better solubility for the resist in the exposed areas. Therefore, a higher exposure dose is needed to decompose more sulfonium groups and ensure that the resist in the exposure area is not soluble in the developer. Similar results were also found in the study of PSTS resists in our previous work.20 The contrast values of the SnMSF4 resist using H2O, the mixture of EtOH and H2O (VEtOH[thin space (1/6-em)]:[thin space (1/6-em)]VH2O = 1[thin space (1/6-em)]:[thin space (1/6-em)]10), and the mixture of isopropyl alcohol (IPA) and H2O (VIPA[thin space (1/6-em)]:[thin space (1/6-em)]VH2O = 1[thin space (1/6-em)]:[thin space (1/6-em)]10) for development are 1.15, 1.06 and 1.28, respectively. The result showed that the change of developers has no significant effect on the contrast. The details of the calculation process of the sensitivity and contrast are shown in the ESI.
image file: d3lf00254c-f4.tif
Fig. 4 The sensitivity and contrast analysis of SnMSF4 for e-beam exposure. (a) The e-beam exposure layout for NRT testing. (b–d) AFM images of SnMSF4 patterns developed with H2O, the EtOH/H2O mixture (VEtOH[thin space (1/6-em)]:[thin space (1/6-em)]VH2O = 1[thin space (1/6-em)]:[thin space (1/6-em)]10) and the IPA/H2O mixture (VIPA[thin space (1/6-em)]:[thin space (1/6-em)]VH2O = 1[thin space (1/6-em)]:[thin space (1/6-em)]10). (e) The NRT curves of the SnMSF4 resist.

The EBL performance of the SnMSF4 resist was further investigated to confirm the effect of developers on resist patterns. Fig. 5 shows the 22 nm L/S patterns of the SnMSF4 resist developed in the different developers for EBL. Consistent with the sensitivity data, the exposure dose required for water development is significantly smaller than that for the mixed developers. The 22 nm L/S patterns without any bridging or collapse are obtained at the dose range of 2000–3000 μC cm−2. When developing with the mixtures of EtOH/IPA and water, the required exposure dose is at least 3200 μC cm−2 to obtain 22 nm L/S patterns. The higher the proportion of EtOH/IPA in the developer, the higher the exposure dose required (Fig. 5). According to the SEM images in Fig. 5, although the sensitivity of the SnMSF4 resist is different, 22 nm L/S patterns can be obtained without significant difference. The influence of developers on resolution will be further studied by exposing the resist to EUV light.


image file: d3lf00254c-f5.tif
Fig. 5 The 22 nm L/S patterns of the SnMSF4 resist developed in the different developers for EBL.

After optimizing the development conditions, water, the mixtures of EtOH and H2O (VEtOH[thin space (1/6-em)]:[thin space (1/6-em)]VH2O = 1[thin space (1/6-em)]:[thin space (1/6-em)]10–20) and the mixtures of IPA and H2O (VIPA[thin space (1/6-em)]:[thin space (1/6-em)]VH2O = 1[thin space (1/6-em)]:[thin space (1/6-em)]10–20) can be used as the developers for the SnMSF4 resist. Fig. 6 shows the 40, 30, 25, 22, and 20 nm L/S patterns of the SnMSF4 resist for EBL. The lithographic patterns exhibit a high contrast without any bridging or collapse. These lithographic results prove that SnMSF4 is a high resolution resist material, which can accurately realize the patterning of 20–40 nm L/S patterns by EBL.


image file: d3lf00254c-f6.tif
Fig. 6 The patterns of SnMSF4 resists for EBL.

EUVL performance of SnMSF4

Based on the successful EBL studies on 20–40 nm L/S patterning, the SnMSF4 resist was further studied as a negative tone n-CAR for high-resolution nano-patterning applications under EUVL conditions as well. The lithographic process conditions, such as resist film preparation, prebake, and development conditions employed for the EUVL studies, were kept the same as for the EBL ones. Unlike in EBL, in EUV lithography we use a thinner resist film of 22 nm to avoid pattern collapse due to a high aspect ratio, and obtain higher resolution lithography patterns.10 To demonstrate the resolution limit of the SnMSF4 resist with EUVL, we employ grating masks that provide 16, 15, 14, and 13 nm L/S patterns on the wafer. Considering that the lithographic results for EBL (resolution, sensitivity and contrast) developed with the mixtures of EtOH and H2O (VEtOH[thin space (1/6-em)]:[thin space (1/6-em)]VH2O = 1[thin space (1/6-em)]:[thin space (1/6-em)]10–20) and the mixtures of IPA and H2O (VIPA[thin space (1/6-em)]:[thin space (1/6-em)]VH2O = 1[thin space (1/6-em)]:[thin space (1/6-em)]10–20) are similar, water and the mixture of IPA and H2O (VIPA[thin space (1/6-em)]:[thin space (1/6-em)]VH2O = 1[thin space (1/6-em)]:[thin space (1/6-em)]10), the two representative developers, were selected for the EUV lithography studies.

The 16, 15, 14 and 13 nm L/S line patterns of the SnMSF4 resist under different exposure doses developed with water and the mixture of IPA and H2O (VIPA[thin space (1/6-em)]:[thin space (1/6-em)]VH2O = 1[thin space (1/6-em)]:[thin space (1/6-em)]10) are shown in Fig. S6 and S7. According to these EUV lithographic patterns with different exposure doses, the optimal exposure doses of the SnMSF4 resist for 16, 15, 14 and 13 nm L/S line patterns can be obtained as shown in Fig. 7. By comparing the results of the two developers, water gives better results than the mixture of IPA and H2O (VIPA[thin space (1/6-em)]:[thin space (1/6-em)]VH2O = 1[thin space (1/6-em)]:[thin space (1/6-em)]10). The exposure dose required for water development is significantly lower than that for the mixture of IPA and H2O (VIPA[thin space (1/6-em)]:[thin space (1/6-em)]VH2O = 1[thin space (1/6-em)]:[thin space (1/6-em)]10), which is consistent with the results of EBL. In terms of the resolution of the lithographic patterns, 16 and 15 nm L/S patterns can be obtained by using water as the developer, with low LERs (2.3–2.6 nm). 14 nm and 13 nm L/S patterns were also obtained but with a slight increase in the LER (3.2–3.3 nm). In the case of the IPA and H2O mixture (VIPA[thin space (1/6-em)]:[thin space (1/6-em)]VH2O = 1[thin space (1/6-em)]:[thin space (1/6-em)]10), only 16 and 15 nm L/S patterns can be achieved. Severe bridging was observed for 14 and 13 nm L/S patterns. The resist for 12 nm L/S lithography was also tested, but the patterns showed a poor contrast and needed further optimization (Fig. S8).


image file: d3lf00254c-f7.tif
Fig. 7 The 16, 15, 14 and 13 nm L/S line patterns of the SnMSF4 resists developed with water and the mixture of IPA and H2O (VIPA[thin space (1/6-em)]:[thin space (1/6-em)]VH2O = 1[thin space (1/6-em)]:[thin space (1/6-em)]10).

All the LERs were calculated with the ProSEM software (Fig. S9–S14). It is obvious that using water as the developer gives better results than the water/alcohol mixture developer. Usually, the surface tension coefficient of the mixture is lower than that of water, which helps to reduce the capillary force and alleviate pattern collapse. However, the addition of alcohol to the developer increases the solubility of the SnMSF4 resist after exposure. This requires a higher exposure dose to achieve a switch of solubility, which also explains the higher sensitivity of the SnMSF4 resist developed in water than in the mixture. Furthermore, the enhanced solubility of the mixture developer leads to a loss of resist film thickness, which results in poor LERs and resolutions.3,32 In general, the water developer not only is environmentally friendly, but also exhibits higher sensitivity, better resolution, and lower LER than the mixture developer for the SnMSF4 resist. It should be noted that the PFAS anion (CF3SO3) in the SnMSF4 resist containing –CF3 is not environmentally friendly.33 The development of non-PFAS anions may be an option in the future.

The performance of the SnMSF4 resist for EBL and EUVL is summarized in Table 1. The SnMSF4 resist exhibits extremely high resolution and can achieve 20 and 13 nm L/S patterns by EBL and EUVL, respectively. The extremely high resolution of the SnMSF4 resist may be due to the small molecular size and non-chemical amplification characteristics. Some early studies have demonstrated that the introduction of Sn with a high EUV absorption coefficient to the resist can help improve its sensitivity.23 However, it should be noted that the sensitivity of the SnMSF4 resist for EUVL is not significantly increased by the introduction of Sn to the molecule compared with our previous studies on other sulfonium-based n-CARs.11,20,34 This is attributed to the following possible reasons: i) unlike the reported tin-oxo cages,35 the cross-section of the Sn atom in the SnMSF4 molecule is estimated to be only ∼10%, by comparing the cross-section of the Sn atom to the whole molecule,36 which limits its contribution to EUV absorption. Thus, the yield of secondary electrons to irradiate the sulfonium is not increased significantly. ii) The strong EUV absorption does not mean that the secondary electron yield is high, and the secondary electron yield is also related to the energy barrier for secondary electron emission.37

Table 1 Summary of the SnMSF4 resist for EBL and EUVL
Resist Lithography method Developer Contrasta Sensitivityb (μC cm−2 or mJ cm−2) Resolution (nm)
a Calculated according to the values of D0 and D100 obtained from the NRT curve. The details of the calculation are shown in the ESI.† The contrast of EUV is not tested due to limited lithography time. b The sensitivity of EBL and EUVL is the optimal exposure dose for patterning.
SnMSF4 EBL H2O 1.15 2000 20
EtOH[thin space (1/6-em)]:[thin space (1/6-em)]H2O = 1[thin space (1/6-em)]:[thin space (1/6-em)]10 1.06 3800 20
IPA[thin space (1/6-em)]:[thin space (1/6-em)]H2O = 1[thin space (1/6-em)]:[thin space (1/6-em)]10 1.28 4000 20
EUVL H2O 173 13
IPA[thin space (1/6-em)]:[thin space (1/6-em)]H2O = 1[thin space (1/6-em)]:[thin space (1/6-em)]10 253 15


Mechanistic analysis of SnMSF4 resist pattern generation

To elucidate the chemical reaction mechanism of the SnMSF4 molecular resist during exposure, we studied the SnMSF4 resist film by high-resolution X-ray photoelectron spectroscopy (XPS). Fig. 8 shows the high-resolution XPS survey spectra of C 1s and S 2p for the SnMSF4 resist films before and after e-beam exposure. The C 1s spectra (Fig. 8a) include a C–C peak, C–H peak, a C–S peak, and a CF3 peak. After exposure, the reduction of the C–S peak indicates the decomposition of the sulfonium group. In addition, the obvious reduction of the intensity of the CF3, SO3, and S[double bond, length as m-dash]O peaks after exposure (Fig. 8b) indicates that the CF3SO3 anion is partially decomposed. The XPS analysis confirms that the decomposition of CF3SO3 and sulfonium groups in the SnMSF4 resist film occurred during e-beam exposure, which is consistent to the previous report on the sulfonium-based n-CARs in EBL and EUVL by Gonsalves et al. as well as by our research group.20,25,38 Our previous study also demonstrated that similar chemical reactions occur in the film of the sulfonium-based compound by e-beam and EUV light, both of which can generate secondary electrons to induce the chemical reactions.34 Based on the XPS data and the previously reported results, we propose a mechanism for the solubility switch of the SnMSF4 resist (Fig. 8c). The SnMSF4 film is soluble in water due to the four sulfonium groups at the periphery. After e-beam irradiation, the cationic sulfonium group is converted into neutral phenyl methyl sulfide, which is insoluble in water. The anionic triflate is decomposed into fragments, which are released from the resist film. Therefore, the initially water-soluble SnMSF4 resist film changes from hydrophilic to hydrophobic, and a solubility switch is thereby induced by using water as the developer to form a negative pattern.
image file: d3lf00254c-f8.tif
Fig. 8 (a) C 1s and (b) S 2p XPS core spectra of the SnMSF4 resist films before and after e-beam exposure. (c) Proposed decomposition process of sulfonium and CF3SO3 units.

The contrast curves and mechanism analysis show that the SnMSF4 molecule needs to lose most of its polar groups to lose its water solubility, which may be the reason for the low sensitivity of the SnMSF4 resist. Free radical species are indeed produced during the decomposition of the sulfonium group, which leads to the potential of cross-linking reactions in the exposed region. However, previous reports have demonstrated that the bond cleavage occurred mainly at S+–CH3 for the phenyldimethyl sulfonium group, resulting in ·CH3 and Ph–S+·–CH3 radicals.39,40 The following cross-linking of ·CH3 to form CH3CH3 or coupling with Ph–S+·–CH3 to release H+ has no obvious effect on the molecular weight in the case of the SnMSF4 molecule. This suggested that the polarity change caused by the decomposition of the onium salts plays a dominant role in the solubility switch, rather than caused by crosslinking reactions.

Conclusions

In summary, a novel single-component resist based on a tetraphenyltin derivative (SnMSF4) was developed. The non-planar tetrahedral structure and the existence of conformational isomerism make SnMSF4 difficult to crystallize which leads to a good film-forming ability. The presence of the sulfonium group makes it sensitive to electron beam and EUV photons, and thus SnMSF4 can be used as an electron beam and EUV resist. By optimizing the EBL and EUVL processes, 20 and 13 nm L/S patterns were achieved, respectively. The study of the mechanism suggests that the formation of the high-resolution negative patterns by the SnMSF4 resist mainly depends on the decomposition of the water-soluble sulfonium group into insoluble sulfide.

Author contributions

Z. W. performed the resist preparation, experimental measurements, and manuscript drafting. J. C. proposed the idea, conducted the experiments, analyzed the data, and performed the manuscript drafting and review. T. Y., Y. Z., X. G., S. W., and R. H. were involved in the design of experiments, the analytical characterization, and data analysis. P. T., M. V., and D. K. performed the EUV lithographic experiment and discussion. Y. E. was involved in the design of EUV experiments and manuscript drafting and review. G. Y. helped design the synthetic route and in data analysis and discussion and manuscript review. Y. L. supervised the overall study, characterization, and manuscript review.

Conflicts of interest

The authors declare no competing financial interest.

Acknowledgements

This work was supported by the National Natural Science Foundation of China (22090012, U20A20144). Support (YZQT020) from the Chinese Academy of Sciences (CAS) is gratefully acknowledged. We also thank the National Center for Nanoscience and Technology for EBL experiments. Parts of this work were performed at the Swiss Light Source, SLS, Paul Scherrer Institute.

References

  1. L. Li, X. Liu, S. Pal, S. Wang, C. K. Ober and E. P. Giannelis, Chem. Soc. Rev., 2017, 46, 4855–4866 RSC.
  2. N. A. Kuznetsova, G. V. Malkov and B. G. Gribov, Russ. Chem. Rev., 2020, 89, 173–190 CrossRef CAS.
  3. S. Hu, J. Chen, T. Yu, Y. Zeng, S. Wang, X. Guo, G. Yang and Y. Li, J. Mater. Chem. C, 2022, 10, 9858–9866 RSC.
  4. M. Yogesh, M. G. Moinuddin, M. Chauhan, S. K. Sharma, S. Ghosh and K. E. Gonsalves, ACS Appl. Electron. Mater., 2021, 3, 1996–2004 CrossRef CAS.
  5. J. Chen, Q. Hao, S. Wang, S. Li, T. Yu, Y. Zeng, J. Zhao, S. Yang, Y. Wu, C. Xue, G. Yang and Y. Li, ACS Appl. Polym. Mater., 2019, 1, 526–534 CrossRef CAS.
  6. M. S. M. Saifullah, M. Asbahi, M. B.-K. Kiyani, S. Tripathy, E. A. H. Ong, A. Ibn Saifullah, H. R. Tan, T. Dutta, R. Ganesan, S. Valiyaveettil and K. S. L. Chong, ACS Nano, 2017, 11, 9920–9929 CrossRef CAS PubMed.
  7. N. R. Kiran, M. Chauhan, S. K. Sharma, S. Ghosh and K. E. Gonsalves, ACS Appl. Electron. Mater., 2020, 2, 3805–3817 CrossRef.
  8. J. W. Thackeray, J. Micro/Nanolithogr., MEMS, MOEMS, 2011, 10, 033009 CrossRef.
  9. T. Kozawa and S. Tagawa, J. Vac. Sci. Technol., B: Microelectron. Nanometer Struct.--Process., Meas., Phenom., 2007, 25, 2481–2485 CrossRef CAS.
  10. Z. Wang, J. Chen, T. Yu, Y. Zeng, G. Yang, T. Allenet, V. Michaela, E. Yasin and Y. Li, J. Micro/Nanopatterning, Mater., Metrol., 2022, 21, 041403 CAS.
  11. S. Ghosh, C. P. Pradeep, S. K. Sharma, P. G. Reddy, S. P. Pal and K. E. Gonsalves, RSC Adv., 2016, 6, 74462–74481 RSC.
  12. K. J. Lawrie, I. Blakey, J. P. Blinco, H. H. Cheng, R. Gronheid, K. S. Jack, I. Pollentier, M. J. Leeson, T. R. Younkin and A. K. Whittaker, J. Mater. Chem., 2011, 21, 5629–5637 RSC.
  13. X.-Y. Lu, H. Luo, K. Wang, Y.-Y. Zhang, X.-F. Zhu, D. Li, B. Ma, S. Xiong, P. F. Nealey, Q. Li and G.-P. Wu, Adv. Funct. Mater., 2021, 31, 2007417 CrossRef CAS.
  14. A. Yu, H. Liu, J. P. Blinco, K. S. Jack, M. Leeson, T. R. Younkin, A. K. Whittaker and I. Blakey, Macromol. Rapid Commun., 2010, 31, 1449–1455 CrossRef CAS PubMed.
  15. M. Wilklow-Marnell, D. Moglia, B. Steimle, B. Cardineau, H. Al-Mashat, P. Nastasi, K. Heard, A. Aslam, R. Kaminski, M. Murphy, R. Del Re, M. Sortland, M. Vockenhuber, Y. Ekinci, R. L. Brainard and D. A. Freedman, J. Micro/Nanolithogr., MEMS, MOEMS, 2018, 17, 043507 CAS.
  16. B. Cardineau, R. Del Re, M. Marnell, H. Al-Mashat, M. Vockenhuber, Y. Ekinci, C. Sarma, D. A. Freedman and R. L. Brainard, Microelectron. Eng., 2014, 127, 44–50 CrossRef CAS.
  17. S. M. Lewis, A. Fernandez, G. A. DeRose, M. S. Hunt, G. F. S. Whitehead, A. Lagzda, H. R. Alty, J. Ferrando-Soria, S. Varey, A. K. Kostopoulos, F. Schedin, C. A. Muryn, G. A. Timco, A. Scherer, S. G. Yeates and R. E. P. Winpenny, Angew. Chem., Int. Ed., 2017, 56, 6749–6752 CrossRef CAS PubMed.
  18. C. Luo, C. Xu, L. Lv, H. Li, X. Huang and W. Liu, RSC Adv., 2020, 10, 8385–8395 RSC.
  19. S. M. Lewis, G. A. DeRose, H. R. Alty, M. S. Hunt, N. Lee, J. A. Mann, R. Grindell, A. Wertheim, L. De Rose, A. Fernandez, C. A. Muryn, G. F. S. Whitehead, G. A. Timco, A. Scherer and R. E. P. Winpenny, Adv. Funct. Mater., 2022, 32, 2202710 CrossRef CAS.
  20. Z. Wang, J. Chen, T. Yu, Y. Zeng, X. Guo, S. Wang, T. Allenet, M. Vockenhuber, Y. Ekinci, G. Yang and Y. Li, ACS Appl. Mater. Interfaces, 2023, 15, 2289–2300 CrossRef CAS PubMed.
  21. O. Kwon, A. D. Sagar, H. N. Kang, H.-M. Kim, K.-B. Kim and H. Lee, J. Nanosci. Nanotechnol., 2014, 14, 6270–6273 CrossRef CAS PubMed.
  22. P. G. Reddy, S. P. Pal, P. Kumar, C. P. Pradeep, S. Ghosh, S. K. Sharma and K. E. Gonsalves, ACS Appl. Mater. Interfaces, 2017, 9, 17–21 CrossRef CAS PubMed.
  23. J. Peter, M. G. Moinuddin, S. Ghosh, S. K. Sharma and K. E. Gonsalves, ACS Appl. Polym. Mater., 2020, 2, 1790–1799 CrossRef CAS.
  24. P. G. Reddy, P. Kumar, S. Ghosh, C. P. Pradeep, S. K. Sharma and K. E. Gonsalves, Mater. Chem. Front., 2017, 1, 2613–2619 RSC.
  25. C. A. da Silva Moura, G. K. Belmonte, P. G. Reddy, K. E. Gonslaves and D. E. Weibel, RSC Adv., 2018, 8, 10930–10938 RSC.
  26. X. Peng, Y. Wang, J. Xu, H. Yuan, L. Wang, T. Zhang, X. Guo, S. Wang, Y. Li and G. Yang, Macromol. Mater. Eng., 2018, 303, 1700654 CrossRef.
  27. Y. Wang, J. Chen, Y. Zeng, T. Yu, X. Guo, S. Wang, T. Allenet, M. Vockenhuber, Y. Ekinci, J. Zhao, S. Yang, Y. Wu, G. Yang and Y. Li, ACS Omega, 2022, 7, 29266–29273 CrossRef CAS PubMed.
  28. N. Mojarad, J. Gobrecht and Y. Ekinci, Microelectron. Eng., 2015, 143, 55–63 CrossRef CAS.
  29. H. Li, Z. Zhou, J. Liu, X. Zheng, W. Xu, C. Ji, W. Shi, R. Liu and X. Liu, J. Polym. Res., 2017, 24, 62 CrossRef.
  30. H. Li, Z. Zhou, J. Liu, W. Xu, R. Liu and X. Liu, Polym. Bull., 2016, 74, 1091–1101 CrossRef.
  31. H. Xu, K. Sakai, K. Kasahara, V. Kosma, K. Yang, H. C. Herbol, J. Odent, P. Clancy, E. P. Giannelis and C. K. Ober, Chem. Mater., 2018, 30, 4124–4133 CrossRef CAS.
  32. S. Yasin, D. G. Hasko and H. Ahmed, Microelectron. Eng., 2002, 61-2, 745–753 CrossRef.
  33. M. Scheringer, Science, 2023, 381, 251 CrossRef PubMed.
  34. Y. Wang, J. Chen, Y. Zeng, T. Yu, S. Wang, X. Guo, R. Hu, P. Tian, M. Vockenhuber, D. Kazazis, Y. Ekinci, Y. Wu, S. Yang, J. Zhao, G. Yang and Y. Li, ACS Appl. Nano Mater., 2023, 6, 18480–18490 CrossRef CAS.
  35. I. Bespalov, Y. Zhang, J. Haitjema, R. M. Tromp, S. J. van der Molen, A. M. Brouwer, J. Jobst and S. Castellanos, ACS Appl. Mater. Interfaces, 2020, 12, 9881–9889 CrossRef CAS PubMed.
  36. R. Fallica, J. Haitjema, L. Wu, S. Castellanos, A. M. Brouwer and Y. Ekinic, J. Micro/Nanolithogr., MEMS, MOEMS, 2018, 17, 023505 Search PubMed.
  37. J. M. Sturm, F. Liu, E. Darlatt, M. Kolbe, A. A. I. Aarnink, C. J. Lee and F. Bijkerk, J. Micro/Nanolithogr., MEMS, MOEMS, 2019, 18, 033501 CAS.
  38. V. S. V. Satyanarayana, F. Kessler, V. Singh, F. R. Scheffer, D. E. Weibel, S. Ghosh and K. E. Gonsalves, ACS Appl. Mater. Interfaces, 2014, 6, 4223–4232 CrossRef CAS PubMed.
  39. F. D. Saeva, E. Garcia and P. A. Martic, J. Photochem. Photobiol., A, 1995, 86, 149–154 CrossRef CAS.
  40. W. Zhou, S. M. Kuebler, D. Carrig, J. W. Perry and S. R. Marder, J. Am. Chem. Soc., 2002, 124, 1897–1901 CrossRef CAS PubMed.

Footnote

Electronic supplementary information (ESI) available: Characterization and experimental data. See DOI: https://doi.org/10.1039/d3lf00254c

This journal is © The Royal Society of Chemistry 2024