Open Access Article
This Open Access Article is licensed under a
Creative Commons Attribution 3.0 Unported Licence

Design of efficient mono-aminosilane precursors for atomic layer deposition of SiO2 thin films

Liang Huang*ab, Bo Hanb, Maohong Fanc and Hansong Cheng*b
aThe State Key Laboratory of Refractories and Metallurgy, Wuhan University of Science and Technology, Wuhan 430081, China. E-mail: huangliang1986@wust.edu.cn
bSustainable Energy Laboratory, Faculty of Material Science and Chemistry, China University of Geosciences, Wuhan 430074, China. E-mail: chghs2@gmail.com
cDepartment of Chemical and Petroleum Engineering, University of Wyoming, Laramie 82071, USA

Received 24th February 2017 , Accepted 20th April 2017

First published on 25th April 2017


Abstract

We present a first-principles study on the dissociative reaction of mono(alkylamino)silane precursors with different sizes of alkylamino ligands on a hydroxylated SiO2 (001) surface. The adsorption energies (ΔEAD), reaction energy barriers (ΔEa), and desorption energies (ΔEDE) of by-products for the six chosen precursors were compared and their ALD windows of temperature were estimated. The results indicate that the dissociative reactions of all six precursors are energetically favorable and DMAS, DEAS, DPAS, DIPAS and DSBAS are appropriate for low temperature deposition with relatively wide ALD windows. In addition, the analysis of reaction rate constants suggests that DMAS, DEAS, DPAS and DIPAS can be used to grow SiO2 thin films with a high deposition rate. Due to the higher reaction rate constants and wider ALD windows of DPAS and DIPAS, they are the most appropriate precursors for ALD at low temperature. These findings underscore the important role of substitution groups in the precursors and suggest ways for designing better precursors for deposition of conformal, dense, and high-purity thin films via ALD processes.


Introduction

With the increasing demand for smaller but highly integrated devices in the electronics industry, conformal film deposition technologies capable of controlling the thickness and the structural patterns of thin films at the atomic scale have become indispensable.1 Atomic layer deposition (ALD), a variant of the chemical vapor deposition (CVD) technique, has now been widely accepted to be an efficient method for fabrication of uniform, conformal, and ultrathin films through sequential, self-limiting surface reaction processes between precursors and active surface species.1–3 The ALD technique has been embraced by semiconductor manufacturers for new device fabrication and extensively used in microelectronics, optoelectronics, photonics, display technology, catalysis and coatings.4

As one of the most essential materials in semiconductor industry, silicon dioxide (SiO2) has been used in dynamic random-access memories (DRAMs) and complementary metal-oxide semiconductors (CMOS) and field-effect transistors (FET).1,5 SiO2 thin films have been deposited with a variety of techniques.6–8 However, with the shrinkage of feature size and the growing demand for films with high uniformity and conformality, ALD technique has been deemed to be the preferred deposition method at moderate temperature.4 Efficient precursors for ALD techniques are of critical importance for deposition of high-quality thin films at low temperature with low impurity levels. Among the Si precursors, such as Si halides,9–11 alkoxides12–14 and alkylamides,15–17 alkylaminosilanes-based precursors have been shown to be superior to other types of materials due to their high reactivity at relatively low deposition temperature, high controllability for developing high density thin films, and capability to offer relatively high growth rate.4,18–20

There are two essential attributes for a viable precursor. First, the molecule must be chemically sufficiently stable so that decomposition does not occur prior to thin film deposition. This is important for preserving a relatively long shelf life and ensuring high purity of the film. The precursor must also be sufficiently reactive so that surface reactions can rapidly take place upon deposition. This is essential to ensure that the film grows at a relatively low temperature, which is paramount for maintaining the right feature size and film roughness. Therefore, the relative reactivity of the precursor is critical for determining the deposition temperature as well as the density, purity, and roughness of the thin film. The structure of the precursor molecule is responsible for the reactivity and thus for the film quality as well. Previously, ALD growth of high-quality SiO2 films required high temperature (>600 °C) and large fluxes because there were no suitable effective Si precursors. Later, this problem was solved by using Lewis base catalyst, such as ammonia (NH3) or pyridine (NC5H5),21,22 or Lewis acid catalyst23,24 to lower the energy barrier of an ALD reaction. Similarly, through the introduction of an amino group, aminosilane precursors play an important role of self-catalysing in Si–O formation of SiO2 ALD.12,25 Recently, various alkylaminosilane-based molecules with appropriately reactive functional ligands have been evaluated and tested with the aim to identify most suitable precursors for SiO2 thin film growth both experimentally26–32 and theoretically.15–17,33–35 It was found that an increase in the degree of alkylamino substitution on Si atom would result in a reduced deposition rate and a use of tris(alkylamino)silane-based precursors may lead to a higher degree of impurity in the films, especially in a low temperature range of the ALD window.18,36 For example, the dissociative chemisorption of tris(dimethylamino)silane (TDMAS) occurs only up to the second step with dimethylaminosilylenyl fragment anchored on the surface, which would give rise to surface impurity.15,36

The recently proposed mono(alkylamino)silane-based precursors, such as di(isopropylamino)silane (DIPAS)37–39 and di(sec-butylamino)silane (DSBAS),17,18 have gained much attention because these compounds are capable of providing increased reactivity toward surface reactions with sufficient stability. High quality of SiO2 thin films can be achieved at lower temperatures with these compounds, compared to bis(alkylamino)silane and tris(alkylamino)silane based precursors.17,18 Nevertheless, despite extensive interests in these materials, little work has been done on the mono(amino)silane based precursors with various alkylamino ligands and on the ligand size effects on the surface reactivity of these precursors. Clearly, a systematic comparative study on the chemical reactivity of mono(amino)silane-based precursors against the aminosilane compounds with multi-alkylamino ligands may shed light on the design and development of promising precursors for deposition of conformal, dense, and high-purity SiO2 films via ALD.

A typical ALD process for growing a SiO2 thin film using aminosilane based precursors includes surface adsorption and reaction of the aminosilane molecule followed by a purge of gas phase species; the process then proceeds with oxidation of the Si–H species to form Si–OH species on the surface followed by a gas purge. By controlling the number of growth cycles, a SiO2 film with the desired thickness is then developed. To predict the actual reactivity of the precursor with the surface, a more credible screening method should invoke the ALD reaction mechanism that can be used to describe the surface reactivity of the precursor, encompassing adsorption energy, desorption energy, surface reaction energy, activation energy, etc.19 Our previous studies suggest that the surface oxidation step is both thermodynamically and kinetically facile.16,17 Therefore, to unveil the mechanism of the ALD process, it is most essential to understand the adsorption and then the reaction of the aminosilane molecule on the chosen surface.

In this paper, we present a theoretical study on the dissociative chemisorption of several mono(alkylamino)silane precursors with various sizes of alkylamino ligands on a hydroxylated SiO2 (001) surface using density functional theory with the van der Waals interaction accounted for. The precursor molecules with increasing ligand sizes, including di(methylamine)silane (DMAS), di(ethylamino)silane (DEAS), di(propylamino)silane (DPAS), di(isopropylamino)silane (DIPAS), di(sec-butylamino)silane (DSBAS), and di(t-butylamino)silane (DTBAS), were chosen to systematically understand their thermochemistry and kinetics involved in the surface reactions. The relative reactivity of these molecules was compared and the most appropriate candidate for ALD deposition of SiO2 surfaces was identified. The study thus provides useful information on the design of efficient ALD precursors for SiO2 thin film growth.

Surface model and computational method

The model of the reconstructed and fully hydroxylated SiO2 (001) surface was obtained from our previous work (Fig. 1) and the details on the validation of the simulation model can be found in ref. 17. Briefly, the SiO2 (001) surface was modeled with slabs upon imposing a periodic boundary condition on a chosen supercell. Between slabs, a vacuum gap of approximately 15 Å thick was inserted to avoid an inter-slab interaction. The surface was first optimized prior to precursor adsorption.
image file: c7ra02301d-f1.tif
Fig. 1 Optimized structure of the hydroxylated SiO2 (001) surface: (a) top view and (b) side view.

Density functional theory (DFT) with the exchange–correlation functional proposed by Perdew, Burke, and Ernzerhof (PBE)40 was used for the electronic structure calculations. The projector augmented wave (PAW) method41 was used to describe the core electrons of atoms, and the valence orbitals were represented with a plane-wave basis set with a cutoff energy of 400 eV. Electronic energies were calculated using a self-consistent-field (SCF) with the tolerance of 10−4 eV. Structural optimizations were conducted for all atoms in the chosen unit cell except the bottom two layers of the substrate, which remained fixed throughout, until the total energy of the system converged to be less than 10−3 eV. The transition-state structure was obtained using the nudged-elastic-band (NEB) search algorithm42 with the initial and final states selected based on the optimized structures and the number of images chosen to be six. The Brillouin zone integration was sampled within a 2 × 2 × 1 Monkhorst–Pack k-point mesh.43 The computations were performed using the Vienna ab initio simulation package (VASP).44 The van der Waals interaction was taken into account in the overall interaction force based on the Grimme's DFT-D2 approach.45 The analysis of the charge distribution of the Si precursors and the hydroxyl groups on the surface was carried out using the Bader analysis method developed by Henkelman et al.46

With the same computational settings, the Si precursors and by-product molecules were optimized in a 20 × 20 × 20 Å3 vacuum box with a 1 × 1 × 1 Monkhorst–Pack grid k-point mesh in order to obtain the energetically most favorable structures. The optimized structures of DMAS, DEAS, DPAS, DIPAS, DSBAS, and DTBAS were shown in Fig. S1 from ESI. The adsorption energy (ΔEAD), the reaction activation barrier (ΔEa), the reaction energy (ΔE) for the dissociative chemisorptions of aminosilane molecules on fully hydroxylated SiO2 (001) surface, and the desorption energy (ΔEDE) of the by-products were calculated using the equations listed in ESI.

Results and discussion

As shown in Fig. 1, the hydroxylated SiO2 (001) surface exhibits two types of hydroxyl groups: one is more exposed (labeled as O1) and another is somewhat embedded (denoted as O2). It has been identified from our previous study that the O1 sites are more accessible for electrophilic attack by precursor molecules due to the high electron density and the high surface exposure of the oxygen atom. Moreover, the H atom of the O1 site is more reactive to form a H-bond with Si precursor.17 Table 1 summarizes the calculated Bader charges of all the Si precursors. Our results show that the charge on the Si atom is positive and the charge on the N atom is negative for all the molecules. Therefore, upon precursor adsorption, both Si and N atoms on the molecule readily land on the negatively charged O1 and the positively charged H atoms of the surface, respectively. Previous results by Kim and co-workers47 suggest that the Si–N bond is the weakest among the covalent bonds in the precursor molecules. Therefore, precursor decomposition can readily occur upon the molecular deposition to yield gas-phase organic-amines and surface Si–O species.
Table 1 The Bader charges on the Si precursors and the bond lengths of Si–N in the precursors before and after adsorption of the precursors on the surface
Precursors Bader charge (e) Si–N bond length (Å)
Si N Before adsorption After adsorption Δ(Si–N)
DMAS 2.55 −1.42 1.737 1.781 0.044
DEAS 2.54 −1.42 1.740 1.786 0.046
DPAS 2.49 −1.51 1.741 1.778 0.037
DIPAS 2.51 −1.37 1.752 1.792 0.040
DSBAS 2.52 −1.35 1.749 1.791 0.042
DTBAS 2.52 −1.33 1.763 1.787 0.024


For a ALD reaction to proceed, the precursor molecules first undergo dissociative chemisorption on the hydroxylated SiO2 (001) surface. The optimized adsorption configuration of the Si precursors on the surface and their adsorption energy (ΔEAD) are shown in Fig. 2. Variations of the Si–N bonds in the Si precursors before and after adsorption on the surface are shown in Table 1. Upon precursor approaching to the surface, one H atom from the more exposed O1 site is attracted by the lone pair electron on the N atom of precursor, forming a strong H-bonding interaction for the precursor molecule to be anchored on the hydroxylated SiO2 (001) surface. As the size of ligands increases, the N–H distances are gradually elongated from 1.571 Å to 2.126 Å due to steric hindrance, which may further result in a poor hydrogen bond interaction for precursors with large ligands, such as DTBAS. As expected, the calculated adsorption energy decreases from 19.5 kcal mol−1 for DEAS to 16.2 kcal mol−1 for DTBAS. The adsorption gives rise to significant activation on the Si–N bond of the precursors, which is approximately elongated by 0.04 Å, implying that the subsequent dissociation of the precursors may be an energetically facile process.


image file: c7ra02301d-f2.tif
Fig. 2 Adsorption structures and adsorption energies (ΔEAD) of (a) DMAS, (b) DEAS, (c)DPAS, (d) DIPAS, (e) DSBAS, and (f) DTBAS.

In general, the activated Si precursors upon adsorption undergo a one-step dissociative reaction, leading to formation of a –SiH3 intermediate species on the surface and elimination of by-products. The formation of SiO–SiH3 intermediate species had been confirmed by Peña et al. using in situ Fourier transform infrared (FTIR) spectroscopy.48 We first focus on the reactivity of DIPAS, which has been experimentally examined, to understand the reaction mechanism of a Si precursor on the hydroxylated SiO2 (001) surface. The optimized structures with the main structural parameters and energy variations for the dissociative reaction of DIPAS are shown in Fig. 3. The adsorbed DIPAS reacts with –OH to produce –SiH3 intermediate species on the surface, eliminating di-isopropyl-amine (DIPA) into gas phase as a by-product. Initially, the DIPAS molecule is adsorbed on the hydroxylated SiO2 (001) surface through strong hydrogen bonding with the H⋯N distance of 1.803 Å, leading to activation of the precursor molecule with the Si–N distance elongated from 1.752 to 1.792 Å. As the surface reaction proceeds, a four-membered ring transition state formed by the elongated Si–N bond length in DIPAS and the stretched O–H distance on the substrate is then formed to further activate the precursor. In the meantime, a strong Si–O interaction is established with a significantly decreased Si–O distance from 3.152 to 2.065 Å to stabilize the partially dissociated DIPAS. As a result, only a modest reaction barrier of 10.9 kcal mol−1 is necessary for the dissociative chemisorption of DIPAS. The complete dissociation of DIPAS gives rise to formation of a –SiH3 intermediate species on the surface with a strong Si–O bond of 1.696 Å, close to the value in the SiO2 single-crystal structure (1.610 Å). Finally, a DIPA molecule is released to the gas phase with a relatively small desorption energy of 8.1 kcal mol−1.


image file: c7ra02301d-f3.tif
Fig. 3 Energy variation for DIPAS reaction with the hydroxylated SiO2 (001) surface.

Similar computational procedure was utilized to evaluate the chemical reactivity of other Si precursors upon dissociative chemisorption (Fig. S2–S7 from ESI). The calculated adsorption energies, activation barriers and desorption energies of the by-products are shown in Table S1 and plotted as a function of ligand size in Fig. 4. Generally, adsorption energy decreases with respect to ligand size due to the steric hindrance. However, the calculated desorption energies exhibit an almost monotonic increase with the large ligand size, which is attributed to the strong van der Waals interaction between the by-products and the substrate. For small precursors, such as DMAS and DEAS, the activation barriers for dissociative chemisorption are slightly higher than that of DPAS and DIPAS with larger ligands. A sharp drop on the activation barriers is then observed for DPAS with a strong Si–O interaction that stabilizes the transition state with the calculated Si–O distance of 1.755 Å (Fig. S4 from ESI). A further increase of precursor size leads to a monotonic increase of the energy barriers due to the steric repulsion between the bulky amine group and the surface. Notably, the dissociation of all precursors are kinetically favorable with relatively moderate reaction energy barriers (<15.0 kcal mol−1) due to a self-activation scheme of the amino group, whereby the N atom can interact with –OH groups on the surface through strong N⋯H hydrogen bonding.25


image file: c7ra02301d-f4.tif
Fig. 4 The estimated ALD windows on the basis of adsorption energies (ΔEAD) and reaction energy barriers (ΔEa) of the Si precursors, and desorption energies (ΔEDE) of the by-products as a function of ligand size of precursors. The ALD windows are expressed in terms of energy and temperature. The approximate temperature ranges on the right vertical axis came from the reported experimental values of DIPAS.

Generally, two factors are critical for determining the appropriate precursor for ALD: (1) to prevent precursor desorption prior to its dissociation, the adsorption energy of the precursor should be higher than the reaction energy barrier of precursor dissociation,49 i.e. ΔEAD > ΔEa; (2) to prevent contamination of a thin film, the by-product should desorb from the surface with a low desorption energy,50 i.e. ΔEAD > ΔEDE. Our calculations suggest that the two criteria for all the six chosen precursors are completely met, and all the by-products would be able to desorb from the surface readily. We therefore predict that these six precursors are suitable candidates for SiO2 thin films growth via ALD.

Since adsorption of the precursors on the surface is mainly thermally driven, there is an ALD temperature window in which an ALD process may take place.3 When there was no enough thermal energy to complete the surface reactions, the precursors could condense on the surface at low temperature. The processing temperature of the substrate must be high enough to be above the reaction energy barrier, which determines the minimum temperature of the “ALD window”. As temperature is elevated, the entropic effect becomes dominant and precursor adsorption is no longer energetically favorable (Fig. S8 from ESI), which dictates the maximum temperature of the “ALD window”. Therefore, we can rationally construct an estimated ALD window based on energy for each of the six Si precursors evaluated in this study, using activation energy as the lower bound and precursor adsorption energy as the upper bound, as shown in Fig. 4.

The ALD window of DIPAS has been reported experimentally and was found to be between 100 and 500 °C.38,51 By using the Arrhenius equation k = A[thin space (1/6-em)]exp(−ΔEi/kBT), the ALD window based on energy can be approximately converted to the ALD window based on temperature,47 where A is a pre-factor related to the frequency, kB is the Boltzmann constant, and ΔEi presents the energy of ΔEAD, ΔEa and ΔEDE, respectively. We plot this temperature range on the right vertical axis in Fig. 4 as a reference to estimate the ALD windows of the other Si precursors correspondingly. As the ligand size of a precursor increases, the estimated ALD window becomes narrower gradually (Fig. 4). The first five of the six Si precursors are appropriate for low temperature deposition with relatively wide ALD windows. Owing to the low reaction energy barrier, DPAS shows the widest ALD window. DIPAS has the second widest ALD window.

Mallikarjunan and co-workers' study indicated that higher growth per cycle (1.3 Å per cycle) and excellent properties can both be obtained with DSBAS/O2 plasma film, compared with BTBAS and BDEAS plasma film.18 In order to analyze the deposition rate under the ALD conditions, the reaction rate constants (k) for precursor dissociation on the hydroxylated SiO2 (001) surface in the temperature range of 350–800 K were calculated using the harmonic Transition State Theory (TST, see details in ESI).52,53 The calculated rate constants ln[thin space (1/6-em)]k are shown in Table S2 and Fig. 5. The results indicate that the rate constants of small precursors (DMAS, DEAS, DPAS and DIPAS) are larger than the values of large precursors (DIBAS and DTBAS). For low temperature ALD (<400 °C), the deposition rate of six precursors follow the trend of DPAS > DIPAS > DEAS > DMAS ≫ DTBAS > DSBAS, implying that the four small precursors should be capable of growing SiO2 thin films with high deposition rates.


image file: c7ra02301d-f5.tif
Fig. 5 The reaction rate constants for precursor's dissociation on hydroxylated SiO2 (001) surfaces at different temperatures.

Conclusion

In the present study, the effect of alkylamino ligand size on the dissociative reaction of mono(alkylamino)silane precursors on a hydroxylated SiO2 (001) surface was investigated based on first-principles calculations for conformal SiO2 film growth via an ALD process. Six silicon precursors with different sizes of alkylamino ligands were evaluated and their adsorption energies (ΔEAD) and reaction energy barriers (ΔEa), and the desorption energies (ΔEDE) of the by-products were systematically compared. Moreover, an estimated ALD window was constructed to evaluate the temperature range for the deposition based on these three energies. The results indicate that the dissociative reactions of all the six precursors are favorable and the ALD windows become narrower gradually with an increase of alkylamino ligand size. It is demonstrated that DMAS, DEAS, DPAS, DIPAS and DSBAS are appropriate for low temperature deposition with relatively wide ALD windows. However, the analysis of reaction rate constant suggests that DMAS, DEAS, DPAS and DIPAS can be used to grow SiO2 thin films with relatively high deposition rates. Due to the higher reaction rate constants and the wider ALD windows, DPAS and DIPAS were found to be the most appropriate precursors for low temperature ALD and can also be used in a wide temperature range. The first-principles calculations provide useful insight into the reactivity of the ALD precursors, which will aid design and development of novel materials for deposition of uniform and conformal thin films on surfaces of increasingly smaller integrated circuit devices in the semiconductor industry. Obviously, the predicted chemical reactivity of these precursors needs to be verified by experiments.

Acknowledgements

We gratefully acknowledge support of the research by the National Natural Science Foundation of China (Grants 21473164, 21203169, and 21233006), the Fundamental Research Funds for the Central Universities, China University of Geosciences, and Air Products and Chemicals, Inc.

References

  1. Y. Nishi and R. Doering, Handbook of Semiconductor Manufacturing Technology, CRC Press, Boca Raton, FL, 2nd edn, 2007 Search PubMed.
  2. S. D. Elliott, G. Dey, Y. Maimaiti, H. Ablat, E. A. Filatova and G. N. Fomengia, Adv. Mater., 2015, 28, 5367–5380 CrossRef PubMed.
  3. S. M. George, Chem. Rev., 2009, 110, 111–131 CrossRef PubMed.
  4. G. Fang, L. Xu, J. Ma and A. Li, Chem. Mater., 2016, 28, 1247–1255 CrossRef CAS.
  5. A. I. Kingon, J.-P. Maria and S. K. Streiffer, Nature, 2000, 406, 1032–1038 CrossRef CAS PubMed.
  6. L. S. Zamboma, R. D. Mansanob and A. P. Mousinhob, Microelectron. J., 2009, 40, 66–69 CrossRef.
  7. J. Kim, S. Jung, K. Jang, H. Park, J. Cho, W. Lee, D. Gong, B. Choi, Y. Kim, J. Park, K. Kim and J. Yi, J. Electrochem. Soc., 2010, 157, H182–H185 CrossRef CAS.
  8. A. Yanguas-Gil, J. Cotrino, A. Walkiewicz-Pietrzykowska and A. R. González-Elipe, Phys. Rev. B: Condens. Matter Mater. Phys., 2007, 76, 075314 CrossRef.
  9. O. Sneh, M. L. Wise, A. W. Ott, L. A. Okada and S. M. George, Surf. Sci., 1995, 334, 135–152 CrossRef CAS.
  10. W. J. Lee, C. H. Han, J. K. Park, Y. S. Lee and S. K. Rha, Jpn. J. Appl. Phys., 2010, 49, 071504 CrossRef.
  11. S.-W. Lee, K. Park, B. Han, S.-H. Son, S.-K. Rha, C.-O. Park and W.-J. Lee, Electrochem. Solid-State Lett., 2008, 11, G23–G26 CrossRef CAS.
  12. J. Bachmann, R. Zierold, Y. T. Chong, R. Hauert, C. Sturm, R. Schmidt-Grund, B. Rheinländer, M. Grundmann, U. Gösele and K. Nielsch, Angew. Chem., Int. Ed., 2008, 47, 6177–6179 CrossRef CAS PubMed.
  13. B. B. Burton, M. P. Boleslawski, A. T. Desombre and S. M. George, Chem. Mater., 2008, 20, 7031–7043 CrossRef CAS.
  14. D.-w. Choi, K.-B. Chung and J.-S. Park, Mater. Chem. Phys., 2013, 142, 614–618 CrossRef CAS.
  15. J. Li, J. Wu, C. Zhou, B. Han, E. J. Karwacki, M. Xiao, X. Lei and H. Cheng, J. Phys. Chem. C, 2009, 113, 9731–9736 CAS.
  16. B. Han, Q. Zhang, J. Wu, B. Han, E. J. Karwacki, A. Derecskei, M. Xiao, X. Lei, M. L. O'Neill and H. Cheng, J. Phys. Chem. C, 2011, 116, 947–952 Search PubMed.
  17. L. Huang, B. Han, B. Han, A. Derecskei-Kovacs, M. Xiao, X. Lei, M. L. O'Neill, R. M. Pearlstein, H. Chandra and H. Cheng, J. Phys. Chem. C, 2013, 117, 19454–19463 CAS.
  18. A. Mallikarjunan, H. Chandra, M. Xiao, X. Lei, R. M. Pearlstein, H. R. Bowen, M. L. O'Neill, A. Derecskei-Kovacs and B. Han, J. Vac. Sci. Technol., A, 2015, 33, 01A137 Search PubMed.
  19. G. Fang, L. Xu, Y. Cao and A. Li, Coord. Chem. Rev., 2016, 322, 94–103 CrossRef CAS.
  20. C. K. Ande, H. C. M. Knoops, K. de Peuter, M. van Drunen, S. D. Elliott and W. M. M. Kessels, J. Phys. Chem. Lett., 2015, 6, 3610–3614 CrossRef CAS PubMed.
  21. J. W. Klaus, O. Sneh and S. M. George, Science, 1997, 278, 1934–1936 CrossRef CAS PubMed.
  22. G. Fang, S. Chen, A. Li and J. Ma, J. Phys. Chem. C, 2012, 116, 26436–26448 CAS.
  23. D. Hausmann, J. Becker, S. Wang and R. G. Gordon, Science, 2002, 298, 402–406 CrossRef CAS PubMed.
  24. G. Fang and J. Ma, Nanoscale, 2013, 5, 11856–11869 RSC.
  25. G.-Y. Fang, L.-N. Xu, Y.-Q. Cao, L.-G. Wang, D. Wu and A.-D. Li, Chem. Commun., 2015, 51, 1341–1344 RSC.
  26. S.-J. Won, H.-S. Jung, S. Suh, Y. Jin Choi, N.-I. Lee, C. Seong Hwang and H. Joon Kim, J. Vac. Sci. Technol., A, 2012, 30, 01A126 Search PubMed.
  27. L. Han and Z. Chen, ECS J. Solid State Sci. Technol., 2013, 2, N228–N236 CrossRef CAS.
  28. M. Putkonen, M. Bosund, O. M. E. Ylivaara, R. L. Puurunen, L. Kilpi, H. Ronkainen, S. Sintonen, S. Ali, H. Lipsanen, X. Liu, E. Haimi, S.-P. Hannula, T. Sajavaara, I. Buchanan, E. Karwacki and M. Vähä-Nissi, Thin Solid Films, 2014, 558, 93–98 CrossRef CAS.
  29. S. Kamiyama, T. Miura and Y. Nara, Thin Solid Films, 2006, 515, 1517–1521 CrossRef CAS.
  30. R. Katamreddy, B. Feist and C. Takoudis, J. Electrochem. Soc., 2008, 155, G163–G167 CrossRef CAS.
  31. F. Hirose, Y. Kinoshita, S. Shibuya, Y. Narita, Y. Takahashi, H. Miya, K. Hirahara, Y. Kimura and M. Niwano, Thin Solid Films, 2010, 519, 270–275 CrossRef CAS.
  32. G. Dingemans, N. M. Terlinden, M. A. Verheijen, M. C. M. van de Sanden and W. M. M. Kessels, J. Appl. Phys., 2011, 110, 093715 CrossRef.
  33. Y.-C. Jeong, S.-B. Baek, D.-H. Kim, J.-S. Kim and Y.-C. Kim, Appl. Surf. Sci., 2013, 280, 207–211 CrossRef CAS.
  34. S.-B. Baek, D.-H. Kim and Y.-C. Kim, Appl. Surf. Sci., 2012, 258, 6341–6344 CrossRef CAS.
  35. J.-H. Yang, S.-B. Baek and Y.-C. Kim, J. Nanosci. Nanotechnol., 2014, 14, 7954–7960 CrossRef CAS PubMed.
  36. Y. Kinoshita, F. Hirose, H. Miya, K. Hirahara, Y. Kimura and M. Niwano, Electrochem. Solid-State Lett., 2007, 10, G80–G83 CrossRef CAS.
  37. C. A. Murray, S. D. Elliott, D. Hausmann, J. Henri and A. LaVoie, ACS Appl. Mater. Interfaces, 2014, 6, 10534–10541 CAS.
  38. H. Kato, M. Honma and A. Dip, U.S. Pat., 20110151122A1, 2011.
  39. K. Lee, W. Lee, H. S. Lee, J. Shin, J. Park, S. Lee, S. Choi, S. Kim, J. Kim and Y. Shim, Phys. Chem. Chem. Phys., 2016, 18, 29139–29146 RSC.
  40. J. P. Perdew, K. Burke and M. Ernzerhof, Phys. Rev. Lett., 1996, 77, 3865–3868 CrossRef CAS PubMed.
  41. G. Kresse and D. Joubert, Phys. Rev. B: Condens. Matter Mater. Phys., 1999, 59, 1758–1775 CrossRef CAS.
  42. G. Henkelman and H. Jónsson, J. Chem. Phys., 2000, 113, 9978–9985 CrossRef CAS.
  43. H. J. Monkhorst and J. D. Pack, Phys. Rev. B: Condens. Matter Mater. Phys., 1976, 13, 5188–5192 CrossRef.
  44. G. Kresse and J. Furthmüller, Phys. Rev. B: Condens. Matter Mater. Phys., 1996, 54, 11169–11186 CrossRef CAS.
  45. S. Grimme, J. Comput. Chem., 2006, 27, 1787–1799 CrossRef CAS PubMed.
  46. G. Henkelman, A. Arnaldsson and H. Jónsson, Comput. Mater. Sci., 2006, 36, 354–360 CrossRef.
  47. J.-S. Kim, J.-H. Yang, Y.-C. Jeong, D.-H. Kim, S.-B. Baek and Y.-C. Kim, Jpn. J. Appl. Phys., 2014, 53, 08NE04 CrossRef.
  48. L. F. Peña, C. E. Nanayakkara, A. Mallikarjunan, H. Chandra, M. Xiao, X. Lei, R. M. Pearlstein, A. Derecskei-Kovacs and Y. J. Chabal, J. Phys. Chem. C, 2016, 120, 10927–10935 Search PubMed.
  49. D.-H. Kim, S.-B. Baek and Y.-C. Kim, Appl. Surf. Sci., 2011, 258, 225–229 CrossRef CAS.
  50. D.-H. Kim and Y.-C. Kim, J. Nanosci. Nanotechnol., 2013, 13, 3564–3567 CrossRef CAS PubMed.
  51. Y.-S. Lee, D.-w. Choi, B. Shong, S. Oh and J.-S. Park, Ceram. Int., 2017, 43, 2095–2099 CrossRef CAS.
  52. D. A. McQuarrie, Statistical Mechanics, University Science Books, Sausalito, California, 2000 Search PubMed.
  53. K. Honkala, A. Hellman, I. N. Remediakis, A. Logadottir, A. Carlsson, S. Dahl, C. H. Christensen and J. K. Nørskov, Science, 2005, 307, 555–558 CrossRef CAS PubMed.

Footnote

Electronic supplementary information (ESI) available. See DOI: 10.1039/c7ra02301d

This journal is © The Royal Society of Chemistry 2017