Open Access Article
This Open Access Article is licensed under a
Creative Commons Attribution 3.0 Unported Licence

Atomic-layer precision etching of SiO2 using sequential molecular adsorption and plasma activation

Rakshith Venugopala, Nian Ran*b, Robert Blickac, Robert Zierold*a and Jun Peng*a
aCenter for Hybrid Nanostructures, University of Hamburg, Luruper Chaussee 149, 22607 Hamburg, Germany. E-mail: jun.peng@uni-hamburg.de; robert.zierold@uni-hamburg.de
bState Key Laboratory of High-Performance Ceramics and Superfine Microstructures, Shanghai Institute of Ceramics, Chinese Academy of Sciences, 200050 Shanghai, China. E-mail: rannian@mail.sic.ac.cn
cDESY Photon Science Deutsches Elektronen-Synchrotron DESY, 22607 Hamburg, Germany

Received 14th September 2025 , Accepted 10th December 2025

First published on 9th January 2026


Abstract

As device architectures in electronics, photonics, and quantum technologies reach atomic dimensions, precise and controllable material processing becomes essential. However, achieving atomic-layer precision in materials etching, even in silicon dioxide (SiO2), remains a major challenge for next-generation nanofabrication. Here, we present a cyclic process that integrates sequential sulfur hexafluoride (SF6) molecular adsorption with argon (Ar) plasma activation, enabling a stable etch-per-cycle (EPC) of ∼1.4 Å per cycle and 100% synergy between modification and removal steps. Mechanistic studies combining experiments, ab initio molecular dynamics, and density functional theory reveal that etching proceeds via a combination of reversible physisorption and defect-mediated chemisorption. Moreover, detailed morphology characterization over multiple cycles reveals a directional and uniform etching effect. This work introduces a scalable, contamination-free, precise etching strategy using standard reactive ion etching (RIE) equipment and commercially available gases, offering a robust and transferable platform for next-generation nanofabrication.


1. Introduction

Atomic layer processing technologies, most notably atomic layer deposition (ALD) and atomic layer etching (ALE), have emerged as key enablers for continued scaling of device architectures in electronics, photonics, and quantum technologies.1–4 These techniques offer true atomic-level control over material growth and removal, facilitating extreme dimensional precision, high aspect ratio features, and compatibility with three-dimensional integration. Their utility has extended into the fabrication of next-generation nanoelectronic systems, including quantum devices,5–9 all while remaining compatible with wafer-scale processing and high-volume manufacturing.10–12 The concept of ALE was first introduced in a 1988 patent describing the cyclic removal of atomic layers from crystalline diamond via alternating nitrogen dioxide exposure and inert gas ion bombardment.13 At that time, limited demand for such precision constrained further development. However, as Moore's law approaches its physical limits, ALE has gained renewed interest, particularly in advanced nanofabrication at the 10 nm technology node and beyond, where atomic-scale controllability, uniformity, and low damage are essential for enabling high-density integrated circuits, 3D NAND flash memory, and next-generation devices that require highly precise fabrication.11,14 In 2015, Lee and George15 reported the first isotropic thermal ALE of Al2O3, inspiring further exploration of atomic layer level precision etching techniques. Although etching of SiO2 with atomic layer precision, one of the most basic semiconductor materials, has been tested by different etching strategies, it still remains challenging to keep control of accuracy and precision.16 Strategies using fluorocarbons (PFCs) or hydrofluorocarbons (HFCs) such as C4F8, CHF3, and CF4 have been leading the way. PFCs or HFCs are used to modify the SiO2 surface to form a thin carbon–carbon film and subsequently Ar plasma bombardment is performed to remove the carbon–carbon polymer layer together with the SiO2 thin layer. However, these etching approaches suffer from several drawbacks: polymer accumulation can destabilize the etch rate and uniformity and residual carbon contamination can affect both the processing chamber and device surfaces. These issues likely contribute to the significant variability in reported EPC values, ranging from 1.9 to 27 Å per cycle (Table S1). Consequently, other approaches, such as using trimethylaluminum and HF,17,18 plasma-involved processes with mixed precursors, or infrared-activated etching,19 are being actively pursued.

In this context, SF6 is a promising alternative due to its clean decomposition chemistry and the absence of polymer-forming byproducts. In conventional etching processes, the use of SF6 primarily relies on its plasma-phase dissociation into highly reactive fluorine radicals, which enables extremely fast etching rates, up to 1 µm min−1,20–24 which introduce challenges in process control for precise etching. Here, we report a self-limiting, highly synergistic etching process for SiO2 using sequential SF6 gas exposure and Ar plasma etching near room temperature. Our process achieves a stable etch per cycle of ∼1.4 Å per cycle, with 100% synergy confirmed by decoupled half-cycle tests. Through systematic parametric studies, we identified both a temperature window and an ICP power window characteristic of ALE-like behavior. Mechanistic insights, supported by ab initio molecular dynamics and density functional theory calculations, reveal that the adsorption process in the etching proceeds via a combination of reversible physisorption and defect-mediated chemisorption. Notably, the process exhibits excellent directionality and maintains high uniformity across large areas, leveraging commonly available gases and existing commercial RIE equipment. This work introduces a practical, scalable, contamination-free, and potentially SF6-recyclable etching strategy, which can be an important step toward precise nanofabrication in next-generation semiconductor and photonic devices.

2. Experimental

2.1. Etching and characterization

Si wafers with 285 nm wet thermally grown SiO2 (SIEGERT WAFER GmbH) were cut into small pieces of dimensions approximately 1 cm × 1 cm or 4.5 cm × 4.5 cm for most etching experiments. In addition, SiO2 layers deposited by ALD and plasma-enhanced chemical vapor deposition (PECVD) on Si substrates (SIEGERT WAFER GmbH) were etched as control samples. Details of the respective deposition processes can be found in our previous work.25,26 Etching was carried out in a commercial ICP-RIE system (SENTECH SI 500). The film thickness was measured ex situ using an ellipsometer (SENTECH SENpro), with thickness values extracted using a Cauchy model for SiO2. The film thickness was measured before and after etching. For pillar patterning, a positive electron beam resist (ARP661.09) was applied, and features were defined using an electron beam lithography system (Raith). The resulting sample was then subjected to a deep ion etching in the RIE system: at a continuous flow of 60 sccm SF6, a plasma was generated with an ICP power of 300 W and a radio frequency (RF) bias of 60 W. The etching was carried out for 70 seconds. Then, the samples were cleaned with an Ar plasma at an ICP power of 300 W for 120 seconds in the same RIE system. The surface morphology was characterized using scanning electron microscopy (SEM, Zeiss Crossbeam 550), and surface roughness was measured by atomic force microscopy (AFM, Dimension).

2.2. MD calculations

Ab initio molecular dynamics (AIMD) simulations were performed using a non-spin-polarized configuration, an electronic energy convergence cut-off of 10−4 eV, a Γ-centered 1 × 1 × 1 k-point mesh, and a time step of 3 fs. The Perdew–Burke–Ernzerhof (PBE) functional within the generalized gradient approximation (GGA) was employed. Following structural relaxation, AIMD simulations were conducted at 300 K in the NVT ensemble using a Nosé–Hoover thermostat for a total simulation time of 30 ps.

2.3. DFT calculations

All DFT calculations were carried out using the projector augmented wave (PAW) method27,28 in the Vienna Ab initio Simulation Package (VASP).29 The exchange–correlation functional was described using GGA with the PBE parameterization. Convergence criteria were set to 10−5 eV for total energy and −0.05 eV Å−1 for forces. The plane-wave cutoff energy was set to 520 eV. The PAW pseudopotentials were VRHFIN = Si: s2p2, VRHFIN = O: s2p4, and VRHFIN = F: s2p5. Gaussian smearing (ISMEAR = 0) with a smearing width SIGMA = 0.05 eV was applied. The Brillouin zone was sampled using a 2 × 2 × 1 Monkhorst–Pack grid for calculations involving surface defects on the SiO2 (001) surface. For electronic structure analysis, a denser 4 × 4 × 1 k-point grid was applied. The initial SiO2 unit cell (a = b = 4.91656 Å, c = 5.43163 Å, space group P3221, no. 154, and mp-6930) was constructed according to a Materials Project database.30 The detailed computational model is shown in Fig. S1. Long-range van der Waals interactions were accounted for using Grimme's DFT-D2 dispersion correction.

3. Results and discussion

3.1. Etching process and synergy characterization

The standard etching procedure follows a cyclic mode analogous to conventional ALE, as illustrated in Fig. 1a. Each cycle comprises four steps: (i) SF6 exposure: SF6 gas is introduced into the reaction chamber at a flow rate of 20 sccm for 5 seconds, allowing a full modification on the surface. (ii) Purge: the SF6 gas supply is stopped and the chamber is purged for 30 seconds. (iii) Ar plasma etching: an inductively coupled plasma (ICP) of Ar is ignited at 100 W for 60 seconds to perform the etching. (iv) Cleaning purge: the ICP is turned off, and the chamber is purged again for 30 seconds. In each cycle, steps (i) and (ii) constitute the modification half-reaction, while steps (iii) and (iv) constitute the removal half-reaction. This alternating half-cycle process yields a stable EPC of ∼1.4 Å per cycle (Fig. 1b). Throughout the process, a continuous Ar flow of 100 sccm is maintained as a carrier gas, while the reactor is kept at room temperature and a working pressure of 1 Pa. Comparable EPC values, as shown in Fig. S2, were obtained for SiO2 deposited by ALD and PECVD using the same ALE recipe, indicating that the etching is predominantly surface-reaction-driven, with internal material defects exerting a negligible influence. Fig. 1c and Table S1 present a comparison of EPC values with previously reported ALE studies on SiO2 over the past decade,19,31–42 highlighting the superior precision of our “sequential SF6 gas and Ar plasma” etching strategy. Notably, similar EPC values were reproduced using the same recipe on a second, comparable RIE system, demonstrating the reproducibility and robustness of the method. Our process delivers EPC performance on a par with thermal ALE but without the need for specialized equipment.
image file: d5tc03428k-f1.tif
Fig. 1 Process configuration and synergy validation. (a) Schematic of the cyclic etching process comprising n sequential cycles. Each cycle includes four steps: (i) SF6 exposure, (ii) purge, (iii) Ar plasma etching and (iv) cleaning purge. (b) The EPC determined to be ∼1.4 Å per cycle based on a linear fitting with R2 ≈ 0.999 of etched thickness, thetched, versus cycle number of the standard loop recipe. (c) Comparison of the EPC from this work with the state-of-the-art SiO2 ALE in the past decade. The numbers adjacent to data points correspond to literature references. (d) A blank period replaces step (i) in the standard recipe. The ICP power is changed in step (iii), indicating that Ar plasma cannot etch SiO2 by sputtering within this power range. (e) and (f) Synergy validation by replacing either step (i) or (iii) in the standard process with a blank period. No etching is observed when either half-reaction is applied independently, confirming that etching only occurs when both steps are combined, indicative of 100% synergy.

To gain a better understanding of the etching mechanism, control studies were performed by omitting either step (i) or (iii) from the standard etching cycle. First, the SF6 gas input in step (i) was withdrawn, and the ICP power range in step (iii) was widened. No significant etching was observed (Fig. 1d). This observation indicates that material removal in the standard process does not originate from Ar plasma-induced physical sputtering alone. Rather, it results from the synergistic interaction between the two half-reactions. In the field of ALE, the degree of synergy is quantitatively evaluated using the ALE synergy factor, S, defined as

S = (EPC − (α + β))/EPC × 100%.
Here, α and β denote the apparent etch contributions arising from the isolated modification (steps i + ii) and removal (steps iii + iv) half-cycles, respectively, which correspond to parasitic etching outside the ideal self-limiting ALE regime.10,43 As shown in Fig. 1e and f, repeating either half-reaction alone produces negligible etching, confirming that both α and β are effectively zero. Consequently, the synergy factor is calculated to be S = 100%, indicating a fully synergetic process. This value exceeds that of previous ALE reports employing alternating fluorocarbon plasma and Ar ion bombardment, which achieved ∼80% synergy in 10 nm logic device fabrication.43 Note that the cyclic configuration that separates SF6 exposure and Ar plasma etching steps is critical for maintaining the precise etching behavior. As shown by the tests in Table S2, mixing the SF6 and the Ar during the plasma step, either cyclically or continuously, yields significantly higher EPC values, resembling a reactive ion etching (RIE) process.

3.2. Etching characteristics

Comparative analysis of various etching strategies suggests that our “sequential SF6 gas and Ar plasma” method follows strictly neither RIE nor ALE characteristics but is closer to a combination of both. To validate this assumption, a series of systematic experiments were conducted to assess the process behavior at varying parameters. Fig. 2a shows the effect of wafer holder temperature on the etching rate. Between room temperature and approximately 40 °C, the EPC remains stable, defining a distinct temperature window for optimal etching. Beyond this range, up to 160 °C, the EPC gradually decreases, which is likely due to increased thermal energy causing SF6 molecules to desorb more easily from the surface, thereby reducing their availability for effective surface modification. In addition to the temperature window, a working pressure window was also identified (Fig. 2b). As the pressure increased to 0.8 Pa, the EPC increased to 1.4 Å per cycle sharply; then, until 8 Pa, the EPC remained at a plateau. However, with further increases in pressure, the EPC begins to rise again. This behavior may be attributed to the insufficient purge time at higher pressures, allowing excessive SF6 molecules to persist in the chamber. Note that there is no sputtering etching within the testing pressure if the step (i) SF6 exposure is absent (Fig. S3). Upon plasma ignition, these residuals generate additional F radicals for etching. Fig. 2c presents the EPC dependence on ICP power. In general, higher ICP power results in increased gas ionization and ion density (Fig. S4). However, the EPC curve, which first increases and then decreases with increasing ICP power, mirrors the trend of Ar plasma ion energy as measured on identical equipment (Fig. S4). This correlation suggests that ion energy, rather than ion density, is the dominant factor influencing etching in the tested 0–700 W range. The relatively flat EPC result within the 50–100 W range likely corresponds to an “etching window,” where the incident plasma ion energy is sufficient to remove the SF6-modified surface layer without damaging the underlying SiO2. Below this energy threshold, incomplete removal of the modified surface leads to reduced EPC. As shown in Fig. 2d and e, as the SF6 exposure time in step (i) and the plasma etching time in step (ii) increase—corresponding to an increase in dose—the EPC saturates at an EPC of ∼1.4 Å per cycle. The above behaviors are consistent with the self-limiting saturation characteristic expected in ALE processes. However, when the purge time following the SF6 exposure (step (ii)) is extended, the EPC does not remain constant as expected. Instead, it drops quickly and ultimately approaches zero etching, as shown in Fig. 2f. This result indicates that the modification half-reaction is reversible, deviating from the typical ALE working principle derived from the ALD concept, which assumes irreversible surface reactions.44 Furthermore, the shape of the EPC–purge time curve implies that the adsorption behavior in the modification step is governed predominantly by reversible type I physisorption,45 rather than by chemisorption.
image file: d5tc03428k-f2.tif
Fig. 2 Etching characteristics under single-parameter variations. (a)–(c) Process windows are identified in which the EPC remains stable when varying (a) wafer holder temperature, (b) chamber pressure, and (c) ICP power. These plateaus indicate the self-limiting behavior. As the (d) SF6 exposure time and (e) the plasma etching duration increase, the EPC gradually approached saturation. The phenomena in (d) and (e) exhibit conventional ALE-like self-limitation characteristics. (f) However, as the purge time in step (ii) prolongs, the EPC drops dramatically and then approaches a no-etching state gradually, suggesting physical absorption of SF6 in step (i).

However, an etching process, whose modification half-reaction is dominated by reversible saturated physisorption, exhibits an ICP power window (Fig. 2c) comparable to the temperature window typically observed in ALE/ALD processes governed by chemisorption. This phenomenon is counterintuitive. To clarify this behavior, simplified SiO2 surface models terminated with either Si or O atoms (Fig. S1) were constructed to examine the adsorption behavior of SF6. Ab initio molecular dynamics (AIMD) simulations (Fig. S5 and Video S1, S2) reveal that Si-terminated surfaces can chemisorb and partially dissociate SF6 molecules, whereas O-terminated surfaces remain inert and exhibit negligible adsorption. DFT calculations (Figure S6) further show that SF6 adsorption on Si-terminated surfaces has an adsorption energy Eads of −5.98 eV, indicative of strong chemisorption, with F atoms forming stable Si–F bonds with exposed Si atoms. In contrast, adsorption on O-terminated surfaces exhibits a much weaker Eads equal to −0.22 eV, without the formation of stable chemical bonds. These results confirm that the modification half-reaction involves a combination of chemisorption and physisorption, consistent with experimental observations: the ICP power window (Fig. 2c) reflects chemisorption behavior, while the EPC–purge time dependence (Fig. 2f) represents physisorption dynamics. Moreover, an etching process using only pulsed SF6 plasma (Table S3) yielded an EPC of 0.5 Å per cycle, approximately one-third of that of the standard ALE process, indicating that chemisorption contributes only partially to the overall adsorption. This demonstrates that, although our process achieves atomic-level etching precision, it does not conform to a purely conventional ALE mechanism.

3.3. Etching mechanism exploration

Next, the SiO2 surface defect sites as potential active adsorption centers for SF6 are explored. At room temperature, the SiO2 surface hosts various stable or metastable defects, with the most prevalent being silicon dangling bonds ([triple bond, length as m-dash]Si˙), oxygen-centered radicals ([triple bond, length as m-dash]Si–O˙), doubly coordinated silicon radicals ([double bond, length as m-dash]Si˙), and strained siloxane rings (Si2O2).46–48 Density functional theory (DFT) simulation was used to construct and relax these defect structures under vacuum at room temperature. Among them, three were found to be thermodynamically stable: [triple bond, length as m-dash]Si˙, [triple bond, length as m-dash]Si–O˙ and Si2O2 rings, as shown in Fig. 3a. F atoms were sequentially introduced to these defect sites, and the corresponding adsorption energy Eads was calculated. All three sites exhibit negative Eads values, indicating spontaneous and energetically favorable formation of Si–F bonds. In the etching cycles, F atoms can originate from two potential primary sources: first, the spontaneous dissociation of SF6 at defect sites during step (i), and second, the plasma-induced dissociation of physically adsorbed SF6 during step (iii). For the former origin, a thermodynamically favorable chemical reaction occurs only if Eads exceeds the dissociation energy Ediss of SF6 into SF5 and F, which is calculated to be 3.03 eV. For example, the chemisorption at [triple bond, length as m-dash]Si˙ defect sites can be expressed as
[triple bond, length as m-dash]Si˙ + SF6 → Si–F + SFx (fragmentation, usually SF5).

image file: d5tc03428k-f3.tif
Fig. 3 Mechanistic insights into the etching process. (a) Three dominant surface defects on the SiO2 surface. (b) The adsorption energy Eads for the different surface defects of F atoms. All negative Eads values indicate that the reaction occurs spontaneously. (c) Comparison of bonding strength, Eb, for Si–O and Si–F with the F adsorption quantity. The black dashed line represents the Si–O bond strength in the defect-free regime on the surface, Eb,Si–O,normal. Insets: A[triple bond, length as m-dash]Si˙ defect with one F adsorbed as an example of Si–O and Si–F studied; Si–O bond in the defect-free surface regime. (d) Schematic of the proposed etching mechanism using sequential SF6 gas exposure and pulsed Ar plasma. Unlike conventional ALE, this process combines both chemical adsorption at reactive defect sites and reversible physical adsorption in the step (i) SF6 exposure, contributing to etching during step (iv) Ar plasma.

As shown in Fig. 3b, among the studied defects, only the Si2O2 ring possesses a sufficiently negative Eads to overcome Ediss, thereby providing enough energy and enabling spontaneous dissociation of SF6 and subsequent F adsorption. The other defects exhibit insufficient Eads, which aligns with the experimental observation that the overall surface behavior is dominated by physisorption (Fig. 2f). For the latter sources, plasma-activated Ar+ ions dissociate physically adsorbed SF6, generating free F radicals, which can spontaneously react with defect sites to form stable Si–F bonds. Crystal orbital Hamilton population (COHP) analysis49 was used to compare the related bond strengths Eb as a function of F adsorption. As shown in Fig. 3c, after adsorbing F atoms, the Si–O bond strengths Eb,Si–O at the defect sites decrease. The Si–O bonds at [triple bond, length as m-dash]Si–O˙, Si2O2 rings with single F adsorption, and [triple bond, length as m-dash]Si˙ with two F adsorptions exhibit lower Si–O bond strength Eb,Si–O than the Si–O bond strength in defect-free regions Eb,Si–O,normal. This implies that, under Ar plasma bombardment, the weaker Si–O bonds near Si–F sites are more preferentially broken than the Si–O bond in defect-free regions. Therefore, when the incident Ar ion energy is larger than Eb,Si–O but smaller than Eb,Si–O,normal, the etching is highly controllable and only limited to the surface, similar to pure ALE processes. This supports the existence of an “etching window” (Fig. 2c), in which the energy of incident Ar+ ions falls between Eb,Si–O and Eb,Si–O,normal—sufficient to break the Si–O bonds that are modified and weakened by Si–F bonds but insufficient to sputter the unmodified and defect-free surface regions. Note that, when the ion energy exceeds Eb,Si–O,normal, the surface will be etched by physical sputtering.

Based on these findings, the etching mechanism for this four-step cyclic sequence is proposed in Fig. 3d. In step (i) SF6 exposure, most SF6 molecules are physically adsorbed onto the SiO2 surface, and only a small amount of SF6 molecules can be dissociated at high-reactivity defect sites, e.g., Si2O2 rings, forming Si–F bonds. None of the adsorption produces an etching effect. And the physical adsorption remains the dominant interaction. In step (ii) Purge, excess SF6 is removed, and the adsorbed SF6 amount on the surface can be tuned by adjusting the purge duration or chamber pressure. In step (iii) Ar plasma etching, the plasma dissociates physiosorbed SF6 and F radicals are produced, which react with surface defects to form Si–F bonds. Simultaneously, Ar+ ions provide energy to cleave weakened Si–O bonds adjacent to the Si–F bonds. The fluorinated Si atoms then further react with radicals to form volatile byproducts.50,51 Finally, the volatile by-products are evacuated in step (iv) cleaning purge, preparing the surface for the next cycle. It is worth noting that in most conventional etching schemes utilizing SF6, the entire gas flow is converted into plasma, and fluorine radicals generated through ionization or fragmentation act as the primary etching species. In contrast, in our process, only the absorbed SF6 molecules are fragmented to participate in etching, while the unadsorbed fraction remains intact and is purged as stable SF6 molecules during the modification half-reaction. These unreacted molecules can potentially be captured, compressed, and recycled, significantly reducing process emissions. Although the use of SF6, a potent greenhouse gas, cannot be entirely eliminated, our etching approach achieves lower gas consumption and improved environmental compatibility, thereby offering a more sustainable pathway for precision plasma etching.52–54

3.4. Directional etching

Validating the directionality—directional or isotropic—of this etching process determines how this process can be used in advanced patterning applications. To assess this, a SiO2 substrate patterned with cylindrical pillars of diameter 600 nm was used. Optical microscopy images (Fig. 4a) were captured after various etching cycles. The observed color variations in the optical images reflect changes in the SiO2 film thickness due to material removal. The corresponding atomic force microscopy (AFM) images (Fig. 4b) and detailed interface profile (Fig. 4c) show, however, no significant difference after each etching process. These results reveal that the surface morphology of the pillars remains essentially unchanged throughout the etching cycles. Specifically, the sidewalls of the pillars retain their shape, indicating that the etching is anisotropic and proceeds primarily in the vertical direction (Fig. 4d). In contrast, isotropic etching should reduce both the height and diameter of the pillars uniformly, as sketched in Fig. S8. Quantitatively, the total etched depth was approximately 62 nm (Fig. 4e) corresponding to the determined 1.4 Å per cycle, while the pillar diameter remained constant. If the process would have been isotropic, the pillar diameter would have decreased by an estimated 27%. This preservation of lateral dimensions strongly supports the directional nature of the etch. The observed anisotropy is likely driven by a bias voltage generated unintentionally during Ar plasma pulses, potentially due to the self-bias effect and capacitive coupling from the ICP power supply. Although no deliberate RF bias was applied, a passive bias was detected during plasma ignition (Fig. S9). This bias establishes an electric field in the plasma sheath, accelerating charged particles, primarily Ar+ ions, perpendicularly toward the substrate. As a result, the etching becomes angle-selective: ions arriving at high incident angles exhibit lower kinetic energy, making them less likely to erode sidewalls or lateral features.55,56 Additionally, the low operating pressure ensures a large mean free path, further promoting unidirectional ion trajectories and reinforcing vertical etch selectivity. This directional etching behavior was also consistently observed in hole-patterned samples (Fig. S10), indicating excellent repeatability in different nanostructured geometries. Surface characterization after etching confirmed the gentle nature of the process, with an unchanged post-etch surface roughness (Ra) of approximately 0.7 nm. Moreover, large-area uniformity tests on a 4.5 cm × 4.5 cm wafer showed an etching uniformity of 3.96% after 150 cycles (Fig. S11). It is well below the ±5% threshold typically required by the semiconductor industry for dry etching processes and is comparable to values reported in other ALE studies,57–59 highlighting the potential of this process to achieve high-fidelity, uniform etching over wafer-scale dimensions.
image file: d5tc03428k-f4.tif
Fig. 4 Directional etching. Pillar structures were used to evaluate the anisotropy of the etching process. (a) Optical microscopy images and (b) the corresponding AFM images of the original pillar sample (i) and (v), the same position after 150 etching cycles (ii) and (vi), the same position after 300 etching cycles (iii) and (vii), and the same position after 450 etching cycles (iv) and (viii). The color variation is due to the different thicknesses of SiO2 after etching. The scalebars for (a) and (b) are 10 µm and 2 µm, respectively. (c) Height profiles of three consecutive pillars in their original state and after 150, 300, and 450 etching cycles. The corresponding pillar heights th0, th1, th2, and th3 are 91.4 ± 1.17, 91.3 ± 0.86, 90.7 ± 0.91, 89.6 ± 1.00 nm, respectively, which remain the same during the etching. (d) Sketch of the directional etching result. (e) Corresponding etched thickness thetched and roughness Ra during the test as a function of cycle number. The stable and low Ra suggests a damage-free and uniform etching process.

4. Conclusions

In summary, we have developed a highly precise and reproducible etching process for SiO2 by combining sequential SF6 gas exposure with Ar plasma at room temperature. The process achieves a stable EPC of ∼1.4 Å per cycle, with 100% synergy confirmed between the modification and removal half-reactions. Parameter studies revealed well-defined process windows for temperature and ICP power, while saturation behavior and physisorption-dominated reversibility were confirmed through dose-dependent experiments. Mechanistic insights obtained via AIMD and DFT simulations highlight the role of surface defects as active sites for SF6 dissociation and F adsorption. These findings support a model of the etching process involving both reversible physical adsorption and defect-mediated chemical adsorption. Moreover, directional etching was experimentally verified using pillar and hole structures. Uniformity testing over a 4.5 cm × 4.5 cm area demonstrated high reproducibility with only 3.96% variation after 150 cycles. Importantly, the process relies on commercial RIE equipment and widely available gases, making it scalable and industrially compatible. Although demonstrated here for SiO2, the concept could be extended to other materials that exhibit selective reactivity between neutral gas-phase species and plasma-generated radicals, opening pathways for broader applications in nanoscale fabrication.

Author contributions

J. P. proposed the concepts and designed the experiments; V. R. and J. P. performed the experiments and analysis; R. N. performed the MD and DFT calculation; R. Z. supervised the study; R. B. provided infrastructure to conduct the experiments; J. P., V. R., and R. Z. co-wrote the manuscript. All authors discussed the experimental and theoretical results and commented on the manuscript. All authors have approved the final version of the manuscript.

Conflicts of interest

There are no conflicts to declare.

Data availability

The data supporting the findings of this work are available within the article and its supplementary information (SI). Supplementary information is available. See DOI: https://doi.org/10.1039/d5tc03428k.

All other relevant data supporting the findings of this study are available from the corresponding author on request.

Acknowledgements

This work was funded by the Deutsche Forschungsgemeinschaft (DFG, German Research Foundation) – Projektnummer 192346071 – SFB 986 “Tailor-Made Multi-Scale Materials Systems”. The authors acknowledge the use of the Hamburg-CRR cleanroom facility, jointly operated by the University of Hamburg (UHH), DESY, and the Max Planck Institute for the Structure and Dynamics of Matter (MPSD), which was instrumental to the experimental work presented in this study. We also acknowledge support from the BMBF ForLab initiative. Nian Ran acknowledges funding from the National Natural Science Foundation of China (NSFC, grant no. 22403103) and the Shanghai Sailing Program (grant no. 23YF1454900). The authors thank Dr Paul Plate, Dr Michael Höfner, and Dr Marcel Schulze (SENTECH Instruments GmbH) for valuable discussions and for providing Ar plasma characterization data used in this work.

References

  1. V. Cremers, R. L. Puurunen and J. Dendooven, Appl. Phys. Rev., 2019, 6, 021302 Search PubMed.
  2. A. Fischer, A. Routzahn, S. M. George and T. Lill, J. Vac. Sci. Technol., A, 2021, 39, 030801 Search PubMed.
  3. T. Faraz, F. Roozeboom, H. C. M. Knoops and W. M. M. Kessels, ECS J. Solid State Sci. Technol., 2015, 4, N5023 Search PubMed.
  4. J. Peng and R. Zierold, in Encyclopedia of Condensed Matter Physics, ed. T. Chakraborty, Academic Press, Oxford, 2nd edn, 2024, pp. 716–728 DOI:10.1016/B978-0-323-90800-9.00206-7.
  5. N. P. de Leon, K. M. Itoh, D. Kim, K. K. Mehta, T. E. Northup, H. Paik, B. S. Palmer, N. Samarth, S. Sangtawesin and D. W. Steuerman, Science, 2021, 372, eabb2823 Search PubMed.
  6. W. Lu, Y. Lee, J. C. Gertsch, J. A. Murdzek, A. S. Cavanagh, L. Kong, J. A. del Alamo and S. M. George, Nano Lett., 2019, 19, 5159–5166 Search PubMed.
  7. A. P. M. Place, L. V. H. Rodgers, P. Mundada, B. M. Smitham, M. Fitzpatrick, Z. Leng, A. Premkumar, J. Bryon, A. Vrajitoarea, S. Sussman, G. Cheng, T. Madhavan, H. K. Babla, X. H. Le, Y. Gang, B. Jäck, A. Gyenis, N. Yao, R. J. Cava, N. P. de Leon and A. A. Houck, Nat. Commun., 2021, 12, 1779 Search PubMed.
  8. Z. Chen, I. M. Grace, S. L. Woltering, L. Chen, A. Gee, J. Baugh, G. A. D. Briggs, L. Bogani, J. A. Mol, C. J. Lambert, H. L. Anderson and J. O. Thomas, Nat. Nanotechnol., 2024, 19, 986–992 Search PubMed.
  9. C. Y. Chen, Z. Sun, R. Torsi, K. Wang, J. Kachian, B. Liu, G. B. Rayner, Z. Chen, J. Appenzeller, Y.-C. Lin and J. A. Robinson, Nat. Commun., 2024, 15, 4016 Search PubMed.
  10. K. J. Kanarik, T. Lill, E. A. Hudson, S. Sriraman, S. Tan, J. Marks, V. Vahedi and R. A. Gottscho, J. Vac. Sci. Technol., A, 2015, 33, 020802 Search PubMed.
  11. K. J. Kanarik, S. Tan and R. A. Gottscho, J. Phys. Chem. Lett., 2018, 9, 4814–4821 Search PubMed.
  12. X. Chen, H.-R. Park, M. Pelton, X. Piao, N. C. Lindquist, H. Im, Y. J. Kim, J. S. Ahn, K. J. Ahn, N. Park, D.-S. Kim and S.-H. Oh, Nat. Commun., 2013, 4, 2361 Search PubMed.
  13. M. N. Yoder, US Pat., US4756794A, 1988.
  14. T.-Y. Lee, P.-T. Chen, C.-C. Huang, H.-C. Chen, L.-Y. Chen, P.-T. Lee, F.-C. Chen, R.-H. Horng and H.-C. Kuo, Nanoscale Adv., 2025, 7, 2796–2817 Search PubMed.
  15. Y. Lee and S. M. George, ACS Nano, 2015, 9, 2061–2070 Search PubMed.
  16. T. Lill, Atomic layer processing: semiconductor dry etching technology, 2021 Search PubMed.
  17. J. W. DuMont, A. E. Marquardt, A. M. Cano and S. M. George, ACS Appl. Mater. Interfaces, 2017, 9, 10296–10307 Search PubMed.
  18. D. Catherall, A. Hossain and A. Minnich, arXiv, 2024, preprint, arXiv:2405.05491 DOI:10.48550/arXiv.2405.05491.
  19. N. Miyoshi, K. Shinoda, H. Kobayashi, M. Kurihara, Y. Kouzuma and M. Izawa, J. Vac. Sci. Technol., A, 2021, 39, 052601 Search PubMed.
  20. R. Dussart, T. Tillocher, L. Becerra, P. Lefaucheux and L. J. Overzet, Jpn. J. Appl. Phys., 2025, 64, 05SP01 Search PubMed.
  21. V. Bliznetsov, H. M. Lin, Y. J. Zhang and D. Johnson, J. Micromech. Microeng., 2015, 25, 087002 Search PubMed.
  22. S. F. Yoon, Microelectron. Eng., 1991, 14, 23–40 Search PubMed.
  23. R. Hsiao and J. Carr, Mater. Sci. Eng., B, 1998, 52, 63–77 Search PubMed.
  24. X. Man, N. Bao, Y. Hao, Y. Feng and X. Ma, Phys. Status Solidi A, 2020, 217, 2000223 Search PubMed.
  25. S. Haugg, C. Hedrich, R. H. Blick and R. Zierold, Nanomaterials, 2021, 11, 3357 Search PubMed.
  26. C. Hedrich, D. Deduytsche, R. R. Petit, T. Krekeler, J. Peng, M. Ritter, J. Dendooven, C. Detavernier, R. H. Blick and R. Zierold, Surf. Interfaces, 2025, 57, 105696 Search PubMed.
  27. J. P. Perdew, K. Burke and M. Ernzerhof, Phys. Rev. Lett., 1996, 77, 3865–3868 Search PubMed.
  28. P. E. Blöchl, Phys. Rev. B:Condens. Matter Mater. Phys., 1994, 50, 17953–17979 Search PubMed.
  29. G. Kresse and J. Furthmüller, Phys. Rev. B:Condens. Matter Mater. Phys., 1996, 54, 11169–11186 Search PubMed.
  30. A. Jain, S. P. Ong, G. Hautier, W. Chen, W. D. Richards, S. Dacek, S. Cholia, D. Gunter, D. Skinner, G. Ceder and K. A. Persson, APL Mater., 2013, 1, 011002 Search PubMed.
  31. S. S. Kaler, Q. Lou, V. M. Donnelly and D. J. Economou, J. Phys. D: Appl. Phys., 2017, 50, 234001 Search PubMed.
  32. R. J. Gasvoda, A. W. van de Steeg, R. Bhowmick, E. A. Hudson and S. Agarwal, ACS Appl. Mater. Interfaces, 2017, 9, 31067–31075 Search PubMed.
  33. K. Koh, Y. Kim, C.-K. Kim and H. Chae, J. Vac. Sci. Technol., A, 2017, 36, 01B106 Search PubMed.
  34. S. Dallorto, A. Goodyear, M. Cooke, J. E. Szornel, C. Ward, C. Kastl, A. Schwartzberg, I. W. Rangelow and S. Cabrini, Plasma Processes Polym., 2019, 16, 1900051 Search PubMed.
  35. S. Dallorto, M. Lorenzon, J. Szornel, A. Schwartzberg, A. Goodyear, M. Cooke, M. Hofmann, I. W. Rangelow and S. Cabrini, J. Vac. Sci. Technol., B, 2019, 37, 051805 Search PubMed.
  36. S. Y. Kim, I.-S. Park and J. Ahn, Appl. Surf. Sci., 2022, 589, 153045 Search PubMed.
  37. Y. S. Lee, S. J. Kim, J. J. Lee, C. H. Cho, I. H. Seong and S. J. You, J. Phys. D: Appl. Phys., 2022, 55, 365203 Search PubMed.
  38. Y. Kim, H. Kang, C. Kim and H. Chae, ACS Sustainable Chem. Eng., 2023, 11, 6136–6142 Search PubMed.
  39. A. Osonio, T. Tsutsumi, B. Mukherjee, R. Borude, N. Kobayashi and M. Hori, Jpn. J. Appl. Phys., 2023, 62, 121001 Search PubMed.
  40. J. Kim, H. Kang, Y. Kim, M. Jeon and H. Chae, Plasma Processes Polym., 2024, 21, 2300216 Search PubMed.
  41. R. J. Gasvoda, Y. G. P. Verstappen, S. Wang, E. A. Hudson and S. Agarwal, J. Vac. Sci. Technol., A, 2019, 37, 051003 Search PubMed.
  42. N. Miyoshi, H. Kobayashi, K. Shinoda, M. Kurihara, K. Kawamura, Y. Kouzuma and M. Izawa, J. Vac. Sci. Technol., A, 2021, 40, 012601 Search PubMed.
  43. K. J. Kanarik, S. Tan, W. Yang, T. Kim, T. Lill, A. Kabansky, E. A. Hudson, T. Ohba, K. Nojiri, J. Yu, R. Wise, I. L. Berry, Y. Pan, J. Marks and R. A. Gottscho, J. Vac. Sci. Technol., A, 2017, 35 Search PubMed.
  44. V. Miikkulainen, M. Leskelä, M. Ritala and R. L. Puurunen, J. Appl. Phys., 2013, 113, 021301 Search PubMed.
  45. K. S. W. Sing, Pure Appl. Chem., 1985, 57, 603–619 Search PubMed.
  46. A. D. Kulkarni, D. G. Truhlar, S. Goverapet Srinivasan, A. C. T. van Duin, P. Norman and T. E. Schwartzentruber, J. Phys. Chem. C, 2013, 117, 258–269 Search PubMed.
  47. V. A. Radzig, in Defects in SiO2 and Related Dielectrics: Science and Technology, ed. G. Pacchioni, L. Skuja and D. L. Griscom, Springer, Netherlands, Dordrecht, 2000, pp. 339–370 DOI:10.1007/978-94-010-0944-7_12.
  48. P. Norman and T. E. Schwartzentruber, Presented in part at the LAMMPS Users' Workshop and Symposium, 2011.
  49. R. Dronskowski and P. E. Bloechl, J. Phys. Chem., 1993, 97, 8617–8624 Search PubMed.
  50. R. Knizikevičius, Vacuum, 2009, 83, 953–957 Search PubMed.
  51. Y. A. Mankelevich, E. N. Voronina, T. V. Rakhimova, A. P. Palov, D. V. Lopaev, S. M. Zyryanov and M. R. Baklanov, Eur. Phys. J. D, 2017, 71, 126 Search PubMed.
  52. H. Pedersen, S. T. Barry and J. Sundqvist, J. Vac. Sci. Technol., A, 2021, 39, 051001 Search PubMed.
  53. S. Shi, Y. Li, Z. Cui, Y. Yan, X. Zhang, J. Tang and S. Xiao, Chem. Eng. J., 2023, 470, 144166 Search PubMed.
  54. G. S. Oehrlein, S. M. Brandstadter, R. L. Bruce, J. P. Chang, J. C. DeMott, V. M. Donnelly, R. Dussart, A. Fischer, R. A. Gottscho, S. Hamaguchi, M. Honda, M. Hori, K. Ishikawa, S. G. Jaloviar, K. J. Kanarik, K. Karahashi, A. Ko, H. Kothari, N. Kuboi, M. J. Kushner, T. Lill, P. Luan, A. Mesbah, E. Miller, S. Nath, Y. Ohya, M. Omura, C. Park, J. Poulose, S. Rauf, M. Sekine, T. G. Smith, N. Stafford, T. Standaert and P. L. G. Ventzek, J. Vac. Sci. Technol., B, 2024, 42, 041501 Search PubMed.
  55. M. Teichmann, J. Lorbeer, F. Frost and B. Rauschenbach, Nanoscale Res. Lett., 2014, 9, 439 Search PubMed.
  56. H. Winter, Phys. Rep., 2002, 367, 387–582 Search PubMed.
  57. K. J. Kanarik, T. Lill, E. A. Hudson, S. Sriraman, S. Tan, J. Marks, V. Vahedi and R. A. Gottscho, J. Vac. Sci. Technol., A, 2015, 33, 020802 Search PubMed.
  58. M. Huff, Micromachines, 2021, 12, 991 Search PubMed.
  59. X. Luo, Z. Zhao, Y. Wang, P. Gao, X. Ma, M. PU, X. Li and Y. Guo, US Pat., US12272530B2, 2025.

This journal is © The Royal Society of Chemistry 2026
Click here to see how this site uses Cookies. View our privacy policy here.