Open Access Article
This Open Access Article is licensed under a Creative Commons Attribution-Non Commercial 3.0 Unported Licence

Extreme ultraviolet lithography reaches 5 nm resolution

Iason Giannopoulos *, Iacopo Mochi , Michaela Vockenhuber , Yasin Ekinci and Dimitrios Kazazis *
Paul Scherrer Institute, 5232 Villigen-PSI, Switzerland. E-mail: iason.giannopoulos@psi.ch; dimitrios.kazazis@psi.ch

Received 26th March 2024 , Accepted 16th May 2024

First published on 12th August 2024


Abstract

Extreme ultraviolet (EUV) lithography is the leading lithography technique in CMOS mass production, moving towards the sub-10 nm half-pitch (HP) regime with the ongoing development of the next generation high numerical aperture (high NA) EUV scanners. Hitherto, EUV interference lithography (EUV-IL) utilizing transmission gratings has been a powerful patterning tool for the early development of EUV resists and related processes, playing a key role in exploring and pushing the boundaries of photon-based lithography. However, achieving patterning with HPs well below 10 nm using this method presents significant challenges. In response, this study introduces a novel EUV-IL setup that employs mirror-based technology and circumvents the limitations of diffraction efficiency towards the diffraction limit that is inherent in conventional grating-based approaches. The results are line/space patterning of the HSQ resist down to HP 5 nm using the standard EUV wavelength 13.5 nm, and the compatibility of the tool with shorter wavelengths beyond EUV. Mirror-based interference lithography paves the way towards the ultimate photon-based resolution at EUV wavelengths and beyond. This advancement is vital for scientific and industrial research, addressing the increasingly challenging needs of nanoscience and technology and future technology nodes of CMOS manufacturing in the few-nanometer HP regime.


Introduction

Over the past four decades there have been unprecedented advancements in the production of integrated chips with increasing computational power. This became possible by the scaling of the semiconductor devices, as dictated by G. E. Moore in 1965.1 Following his predictions, the semiconductor industry has continued the miniaturization of the transistor and the increase of the device density per integrated chip. This would not have been technologically possible and economically viable without a patterning technique capable of printing a large number of devices on a chip in a parallel manner, namely, top-down photolithography. There is a large variety of lithography techniques with very high resolution such as electron2 or focused ion beam lithography,3 nanoimprint lithography,4,5 techniques based on scanning probes6–9 or scanning tunneling microscopes.10 Although techniques like scanning tunneling lithography have demonstrated atomic scale patterning,11 it is impractical to integrate them in high volume manufacturing (HVM), because they are serial techniques and therefore incompatible with industrial throughput.12 Photolithography is a parallel technique where the image of a specific mask is projected on a thin film of photosensitive material resulting in a local solubility change. The soluble part of the photosensitive material (photoresist) is washed away in a special developer solution. The mask pattern transferred to the photoresist can be further transferred to the silicon substrate creating functional electronic components.

Apart from being a key technology for the production of semiconductor electronics in HVM, photolithography has boosted the miniaturization and the performance of integrated semiconductor devices, hence the evolution of computational hardware towards our modern standards. State-of-the-art integrated circuit production has relied on photolithography tools, steppers or scanners for nearly four decades.

The resolution (R) of any imaging system is given by the Rayleigh criterion

 
image file: d4nr01332h-t1.tif(1)
where NA is the numerical aperture of the imaging lens system, λ is the wavelength of the light, and k1 is a process-dependent parameter linked to the illumination system, the mask architecture and other variables.13 Over the years, feature sizes have been reduced by first increasing the NA of the imaging lens system, then decreasing the parameter k1 and eventually decreasing the wavelength of the employed light source. The wavelength had reached a prolonged plateau at 193 nm (ArF excimer laser), because the attempts for further reduction to 157 nm (F2) were abandoned14 due to the birefringence observed in CaF2, the only practical replacement of quartz as a lens for this wavelength.15 Meanwhile, numerous solutions have been introduced to increase the feature density and the patterning resolution, such as optical proximity correction,16 phase-shift masks17 and the highly effective yet cost-inefficient multiple patterning.18 A pivotal development was immersion lithography, where a high refractive index liquid was introduced in the air gap between the final lens and the wafer to increase the NA to values greater than 1 and boost the resolution.19,20

However, the computational challenges of recent years have led to a surging demand for power efficient and high-performance semiconductor devices and spurred global research in advancing high-resolution lithography. This was achieved by reducing the wavelength to 13.5 nm that falls in the extreme ultraviolet (EUV) range. EUV lithography entered HVM in 2019 leading to a further plummet of the minimum feature size. The more than tenfold reduction in wavelength was achieved through extensive academic and industrial research and development efforts. These focused on creating innovative EUV sources with sufficient power and redesigning the illumination and projection optics of EUV scanners to operate in reflective mode. This was necessary because EUV photons are absorbed by most materials, including air, making the use of refractive optics practically impossible. In a modern EUV scanner, the projection takes place through a cascade of multilayer-coated mirrors in a low pressure hydrogen environment,21 to protect the optics from contamination.22,23 The NA of the systems in production is 0.33, leaving substantial room for improvement on that aspect. Systems with an NA of 0.55 (high NA systems) are currently under development, while the possibility of even higher NAs of 0.75 or 0.85 (hyper NA) is also explored.24 High NA ultimately aims for patterning at half pitch (HP) resolutions down to 8 nm by 2028.25 Despite optimization, absorptions from reflective optics dramatically reduce the photon flux on the wafer, raising the need for high-power sources and high-sensitivity resists. Although there are projections for EUV source powers up to 800 W,26 it is still of paramount importance to develop EUV photoresist materials with high sensitivity, while maintaining ultra-high resolution and low linewidth roughness (LWR). In this demanding ecosystem, EUV interference lithography (EUV-IL) has been instrumental in the development of EUV photoresists and processes.27–32 This method provides a high-resolution aerial image in a cost-effective manner, for the timely development of photoresist materials and processes even before the availability of EUV scanners.

In this work, we extend the capabilities of EUV-IL by introducing an alternative mirror-based method. In EUV mirror interference lithography (MIL), two mutually coherent beams are reflected by two identical mirrors. The reflected beams create an interference pattern with a pitch that depends on the grazing angles and the wavelength. Due to the absence of any diffractive elements and the high reflectivity of the Ru mirrors that we use, MIL exposures are characterized by very high efficiencies and are, therefore, less prone to thermomechanical drifts. Consequently, the MIL method is capable of sub-10 nm HP resolutions. In addition, it provides a means to expose a photoresist with variable contrast in a well-controlled manner and in a single exposure. Our results demonstrate that it is possible to reach ultimate resolutions with photon-based lithography and open new avenues for research in the field of EUV lithography and photoresist materials. The following paragraphs offer an exploration of the key aspects of MIL methodology, starting with the description of the experimental setup and the theoretical background, followed by an in-depth analysis of the variable contrast across the imaging field. Moving on to the experimental results, we present sub-10 nm HP lithographic exposures down to 5 nm HP with 13.5 nm light and a case-study analysis of the image contrast. Finally, we showcase the compatibility of MIL with shorter wavelengths beyond EUV and discuss the potential of the technique.

Results

EUV mirror interference lithography

EUV-IL is a lithography technique based on the interference of two or more mutually coherent beams, which can create periodic aerial images, such as line/space patterns and contact holes or pillars as well as more complex structures such as kagome33 or penrose34 patterns. Unlike commercial scanners, EUV-IL tools do not employ complex optics. Instead, they use transmission diffraction grating masks (see ESI A & Fig. S1). To minimize EUV absorption, the masks are made of thin silicon nitride membranes (80 nm) that absorb roughly 50% of the incoming light.35 To obtain a line/space pattern, two identical diffraction gratings with a predefined distance from each other are fabricated on the membrane. When the gratings are irradiated with EUV synchrotron light, the diffracted beams interfere creating a periodic aerial image with a pitch corresponding to a fraction of the one on the mask's gratings. When a wafer is positioned at a specific distance from the mask, where the diffracted beams overlap, the interference pattern is recorded in the photoresist. This pattern covers a relatively large area (on the order of 100 × 100 μm2) with a substantial throughput, boasting high and pitch-independent contrast and absence of depth of focus limitations. Synchrotron light stands out as an ideal source for EUV-IL due to its high photon-flux and coherence.

The EUV-IL tool at the XIL-II beamline of the Paul Scherrer Institute has shown line/space half-pitch resolutions down to 6 nm.36 Owing to its high resolution, absence of complex optics, easy access, low outgassing and no material restrictions for exploratory resist systems, EUV-IL has been extensively used in the development and evaluation of photoresist materials for EUV lithography, even before commercial tools became available.30,37,38 Additionally, EUV-IL has been particularly attractive for a plethora of scientific applications that require periodic nanopatterning over relatively large areas.39–48

Despite the high brilliance of the synchrotron source, diffraction gratings suffer from limited diffraction efficiency. Typically, these gratings are fabricated by patterning a resist layer directly into line/space arrays without any further pattern transfer. Hydrogen silsesquioxane (HSQ) gratings present a favorable choice due to their reasonable diffraction efficiency, stability under EUV irradiation and high-quality patterning with electron beam lithography (EBL). So far, the efforts to optimize materials and increase the diffraction efficiency, such as the use of bilayer stacks of spin-on carbon and HSQ,49 were met with limited success and finding a solution to the dramatic reduction of diffraction efficiency for patterning below HP 10 nm is still an object of research. Low diffraction efficiency implies higher exposure times that, in turn, make the exposure more prone to thermal drifts and mechanical vibrations, leading to increased aerial image blur, hence limited resolution. Besides diffraction efficiency limitations, the nanofabrication of high-quality gratings on thin silicon nitride membranes becomes increasingly challenging for sub-10 nm HP resolution, due to EBL resolution limitations and pattern collapse.

To avoid these challenges and in pursuit of the ultimate resolution for photon-based lithography, one can shift away from the transmission gratings approach and introduce EUV mirror interference lithography. The use of mirrors for interference lithography is a documented concept that has been previously explored with optical and UV wavelengths.50 The earliest reported use of EUV light on a Lloyd's mirror-based setup was by Solak et al. that produced 19 nm HP line/space patterns using synchrotron radiation.51 Later, Kim et al. employed a high-harmonic generation (HHG) EUV light source with a 29 nm wavelength and showed 100 nm HP resolution.52 Recently, Dorney et al. reported an attempt for 10 nm HP using a similar HHG light source at the standard EUV wavelength of 13.5 nm.53 However, the outcome was rather a modulation of the resist thickness, as the demonstrated lines were not fully resolved. The main drawback of a Lloyd's mirror-based exposure with EUV light is the asymmetry between the intensities of the interfering beams that leads to contrast loss. In these setups, a single mirror is positioned close to normal with respect to the sample, causing a portion of the beam to reflect at a grazing angle and interfere with the non-reflected portion shining directly on the photoresist. This, combined with the lower flux of the HHG source that requires longer exposures, compromises imaging quality and impedes transition into the sub-10 nm HP regime. Our work introduces a two-mirror interferometer to address these challenges.

Fig. 1 shows a schematic design of the XIL-II beamline that we developed.54 An electron beam sourced by the Swiss light source (SLS) accelerator is guided through an undulator that generates a highly coherent and brilliant EUV light beam. A set of reflective optics filter, shape and focus the beam on a pinhole. The spatially coherent beam propagates towards the endstation, where the MIL device and the substrate are positioned. Within the MIL device, a mechanical photon blocker divides the beam and two mirrors located at a distance d from each other reflect the two coherent beams. The mirrors are positioned at a grazing angle α with respect to the incident beam. The general expression55 of the interference pattern intensity as a function of the position x away from the centerline for a given mirror angle a and wavelength λ is given by eqn (2), where A is the amplitude of the electric field vector. We assume plane waves with transverse electric polarization (TE), meaning that the component of the electric field is perpendicular to the plane of incidence as defined by the propagation vector and the surface normal (see Fig. 1b). The reflected beams overlap at a distance S = d/(2tan[thin space (1/6-em)]2α) from the center of the reflection area and form an interference pattern with a pitch P given by eqn (3). The derivation of these equations can be found in the ESI B. The exact grazing angles can vary uniquely for each MIL device, because of micromachining inaccuracies and the manual attachment of the mirrors. Nevertheless, the technique exhibits a high tolerance to such geometrical nonidealities, ensuring lithography results even in the presence of deviations from the intended pitch value (see ESI C).

 
image file: d4nr01332h-t2.tif(2)
 
image file: d4nr01332h-t3.tif(3)


image file: d4nr01332h-f1.tif
Fig. 1 Mirror interference lithography. (a) Schematic representation of the XIL-II beamline at the Paul Scherrer Institute. EUV light with tunable wavelength is generated by an undulator and gets reflected off a series of mirrors for high harmonics suppression and focusing. The beam is focused on a pinhole (spatial filter) and the spatially coherent beam subsequently illuminates the imaging module. (b) MIL device along with the principle of operation. After passing through the pinhole, the EUV beam is split in two parts by a physical photon blocker. After reflecting on mirrors inclined at a specified grazing angle, the two beams interfere on the resist substrate. (c) Photograph of a MIL device mounted on an EUV-IL mask holder, next to a 5 Swiss francs coin. (d) Graphic illustration of the MIL device shown in (c).

Each part of the beam in this device undergoes only one reflection on a highly reflective planar mirror. In contrast to grating-based EUV-IL, there is neither a diffraction process with limited efficiency nor any absorbing membrane involved. This leads to considerably shorter exposure times in comparison to the grating-based method. The device is fully compatible with our standard EUV-IL system, requiring no modifications to the endstation and the beamline infrastructure. In addition, MIL devices are much more durable, as opposed to the gratings on membranes that are fragile and prone to degradation due to beam damage and contamination. This is due to their robust metallic structure, with the mirrors being the only components prone to degradation, yet easily replaceable with Ru-coated Si chips (see Experimental methods).

Nevertheless, the fabrication of the MIL setup is not simple and requires a particularly rigorous micromachining process with adequate accuracy and precision according to the design. Excessive misalignments can easily render the setup completely unusable. Moreover, its positioning with respect to the beam is subject to relatively narrow misalignment tolerances. In terms of throughput, we note that while our typical EUV-IL mask features gratings with 5 or 6 different pitches in one exposure region, the fixed grazing angle limits MIL to only one pitch per device. However, MIL targets applications where ultimate resolution is required and is a very important asset in the portfolio of interference techniques available at our endstation. The most prominent difference between MIL and different EUV-IL methods is the contrast variation across the imaging area, a topic new and exclusively present to the MIL technique. We will study this phenomenon in depth and demonstrate the substantial value that it adds to the current capabilities of EUV-IL.

Contrast range and variable NILS

The intensity contrast of the interference pattern, as for any aerial image, is expressed as the ratio (ImaxImin)/(Imax + Imin). An ideally monochromatic beam would give maximum contrast across the complete area of the overlapped beams, as shown in eqn (4). However, the spectral content of our illumination system causes an intensity modulation in the interference pattern across the field of view. This leads to a gradual contrast reduction as a function of the distance from the center with maximum contrast at the area of the centerline (see Fig. 2a). The effect of the bandwidth on the contrast reduction at positions away from the geometrical center of the beam overlap can be derived and numerically calculated for different wavelengths and grazing angles. Specifically, eqn (2) gives (see ESI B):
 
image file: d4nr01332h-t4.tif(4)

image file: d4nr01332h-f2.tif
Fig. 2 The characteristics of a MIL aerial image. (a) Numerical simulation for an EUV beam with 4% bandwidth; the amplitude of the interference pattern as a function of distance from the centerline for a HP 8.7 nm MIL device. NILS is calculated at each period with slope fits at the half-pitch borders. (b) Pitch-independent NILS number for each line away from the central one that has the maximum value π. The spectral content of the beamline used in this work has a Gaussian distribution with 4% FWHM and is plotted in comparison with the 1% and 2% ones. A gentler NILS decline can be obtained with smaller bandwidth values as well as a broader patterned area and a finer spacing between the available NILS levels.

Normalized image log-slope (NILS) is the standard metric that characterizes the edge definition of a pattern.56 It is the slope of the aerial image intensity at the border of the pattern area, normalized by the intensity and the nominal linewidth.

 
image file: d4nr01332h-t5.tif(5)

The general expression using the MIL intensity function, eqn (6), is: (see ESI D).

 
image file: d4nr01332h-t6.tif(6)

NILS is locally calculated at the line edges with half-pitch linewidth, meaning every ¼ and ¾ of the pitch. Solving eqn (6) at the sequence of positions image file: d4nr01332h-t7.tif, where n is a nonzero integer, yields |(−1)nπ| = π. This constant and pitch-independent NILS number is a distinctive feature of interference lithography, and it also applies to the conventional transmission gratings case.

There is, however, a fundamental difference between the grating-based and the mirror-based IL when dealing with non-monochromatic light. In grating-based EUV-IL, the diffraction angle depends on the wavelength, so does the interference pattern, resulting in a wavelength-independent pitch (see ESI A). Oppositely, the interference pitch and the wavelength are proportional in MIL as shown in eqn (3). Differences in the optical path lengths of the temporally incoherent frequency components arise along the finite width of the interference area. Our EUV beam is quasi-monochromatic, because the full width at half-maximum Δf is much smaller than the central frequency f0 that corresponds to the 13.5 nm wavelength. The power spectral density has a Gaussian distribution around f0 with a bandwidth Δf/f0 = 4%. The normalized Gaussian expression of eqn (7) describes the relative irradiance contributions of the involved optical frequencies. The total intensity is calculated as the integral over all the spectral components, which is approximated by the sum of the discrete frequencies given adequately fine slicing δf in eqn (8).

 
image file: d4nr01332h-t8.tif(7)
 
image file: d4nr01332h-t9.tif(8)

Fig. 2a shows the calculated intensity versus lateral position for a MIL device that gives 8.7 nm HP lines. According to the definition of NILS, one can calculate the slope and the value of the intensity curve at the linewidth borders for each period. Fig. 2b shows the NILS number that corresponds to each interference line within the field of view for 3 different bandwidths of 1%, 2%, and 4%. The NILS of π, that corresponds to monochromatic light, is met only at the centerline, followed by a gradual decrease for every subsequent intensity peak. Even though the positions of these points change with the grazing angle, the NILS of each line remains independent of the pitch, meaning that the calculated curves shown in Fig. 2b are the same for all MIL devices, depending only on the bandwidth.

Although the contrast loss may seem like an undesirable effect, it is, in fact, of great importance for research and development. Future reflective optics will push the lithographic resolution limit lower, but at the expense of having extremely shallow depth of focus and NILS numbers below 3 for HP lower than 15 nm.23,24 Consequently, there is an urgent requirement for improved focus capabilities and systems that preserve wafer flatness throughout the process. In that context, being able to map the effect of contrast loss on a resist with a single exposure is of great relevance, because NILS numbers reduce dramatically at smaller pitches even at the best focus conditions.24 A scanner performs exposures at different NILS conditions, but the exact NILS depends on many factors such as the illumination system, the focus, and the mask, therefore, it cannot be easily controlled. There have been previous attempts to tune the NILS in EUV-IL, so it matches the one of a scanner, by adding background (flare) to reduce the contrast, but this required multiple exposures.57 Oppositely, a single MIL exposure contains multiple NILS numbers, hence, one can selectively characterize the lines that correspond to the contrast conditions of a given process in a simple manner.

Experimental results

Fig. 3 shows the calculated reflectivity of a 10 nm Ru mirror as a function of grazing incidence angle,35 for the photon energy of 91.9 eV (13.5 nm wavelength). A 3 nm native silicon oxide layer and the silicon substrate are included in the calculation, as well as the root mean square of the surface roughness at 0.5 nm, higher than the 0.15 nm measured by atomic force microscopy (see ESI E & Fig. S3). Based on these values, one can calculate the expected light intensity that arrives at the wafer as follows. If the incoming light intensity is measured Ii (W cm−2) before the device e.g., with a photodiode, then the intensity at the overlapping area on the substrate (see Fig. 1) is 2IiRa, where Ra is the mirror reflectivity. The angle-dependent Ra factors, denoted by dots in Fig. 3, correspond to existing MIL devices used in this work. However, this product describes only the superposition of the incoherent interfering waves. The spectral content of our beam confines the constructive interference in the limited area shown in Fig. 2a, where there is a fourfold increase in intensity according to eqn (4). In eqn (9) we define the ratio between the incoming intensity Ii and the intensity on the resist Ir at the patterning area as the “tool factor” TF = 4Ra. This value directly measures the efficiency of IL, as it effectively shows the fraction of incoming photons per unit area utilized for patterning the photoresist. Tool factors can be measured experimentally by exposing a specific and stable resist with previously measured sensitivity, allowing for the estimation of the effective mirror reflectivity. Various factors such as surface roughness, oxidation, contamination, and thickness homogeneity may lead to deviations from the simulated numbers.
 
Ir = 4IiRa = IiTF(9)

image file: d4nr01332h-f3.tif
Fig. 3 Mirror reflectivity. Calculated reflectivity as a function of grazing angle for a low-roughness, 10 nm Ru film and a photon energy that corresponds to EUV light with wavelength of 13.5 nm. The markers show the grazing angles of the MIL devices used in this study.

To evaluate the performance and capabilities of MIL, we use HSQ, a commercial high-resolution resist that has been extensively used in EBL,58 but can also be exposed with EUV light.28 HSQ falls short of the industrial sensitivity requirements for EUV resists by an order of magnitude, making the exposure times too long for industrial integration. Nevertheless, we use it as a benchmarking resist due to its ultra-high resolution below 10 nm HP, better than what most state-of-the-art EUV photoresists can achieve. The low sensitivity of HSQ is not a problem for MIL owing to its high efficiency that keeps the exposure time at only a few seconds.

Fig. 4 shows scanning electron microscopy (SEM) images of HSQ lines with sub-10 nm HP patterned using MIL. We highlight the HP 6 and HP 5 images produced by MIL devices with 17° and 21.2° grazing angles, respectively. This resolution, utilizing the industrial standard EUV wavelength, establishes the new record in photon-based nanolithography.


image file: d4nr01332h-f4.tif
Fig. 4 MIL exposures using the industry standard EUV wavelength of 13.5 nm. SEM images of exposed HSQ photoresist using the MIL devices that are designed for sub-10 nm HP patterning. The HP that is linked to the grazing angle α (see Fig. 1) is unique for each MIL device at the 13.5 nm wavelength. The imaging area is centered at the maximum-contrast centerline (NILS = π) and shows the effect of contrast loss away from the centerline.

It is important to note that the reported SEM images of Fig. 4 were obtained immediately after a standard HSQ wet development process (see Experimental methods), without any scum mitigation steps during or after the process. Undoubtedly, there is room for improvement in the development of the resist. For instance, more effective surfactants, beyond those already incorporated in the developer solution, could further reduce water tension, and allow for better access of the solution into such confined spaces. Additionally, optimizing the processing of HSQ, including potential adjustments to development time and temperature, could improve the LWR and reduce any residual scum. However, it is beyond the scope of the current study to explore such optimizations related to a specific photoresist; our primary aim is to conclusively highlight the formation of a high-resolution aerial image based on mirror interference of EUV light and, consequently, the ultimate patterning capabilities of the technique.

In Fig. 5 we demonstrate the analysis of a sample SEM image for a 7.8 nm HP MIL exposure on HSQ and compare the results with the calculated intensity. The simulated aerial image (Fig. 5a) is a grayscale depiction of the intensity computed using eqn (8). Fig. 5b shows an SEM image of HSQ lines with HP 7.8 nm patterned by MIL. The SEM image intensity (Fig. 5c) is a pixel-based calculation (grayscale value), computed as the average intensity along the lines.


image file: d4nr01332h-f5.tif
Fig. 5 Analysis of a MIL exposure. (a) Calculated aerial image for a HP 7.8 nm MIL device. (b) The corresponding SEM image of HSQ resist showing line/space patterning. The significantly expanded imaging area, compared to the ones in Fig. 4, includes lines with profoundly reduced contrast, as predicted by our calculated aerial image. (c) SEM image intensity, averaged along the direction of the lines, plotted versus the position from the centerline. (d) Calculated NILS numbers for an EUV beam with 4% bandwidth, as is the case for the beam used in our experiments, against the position of each line in the imaging field. (e) Contrast of the computed aerial image and the SEM image. The former is calculated from the simulated data shown in (a) and the latter from the intensity peaks in (c) that correspond to the SEM image.

The NILS number against the position of each line is plotted in Fig. 5d for a bandwidth of 4% that characterizes the beam used in our experiments. Here, one can see a practical demonstration of the variable NILS feature. For example, if the optical system of an industrial EUV lithography tool can project a 15.6 nm pitch aerial image with NILS 2.4, one has to study the 7th pair of lines that are positioned 105 nm around the centerline. As opposed to EUV-IL with transmission gratings that usually patterns square areas measuring thousands of lines with NILS π, the only equivalent line in a MIL exposure is the centerline. However, the length of this line is a device-design parameter and can be as long as the diameter of the beam. In our case, the beam intensity is homogeneous within 1.5 mm, therefore, adequate statistical data (several SEM images) can be gathered along the length of the lines.

Finally, Fig. 5e shows the calculated contrast together with the SEM image contrast. Qualitatively, both curves exhibit a similar trend, with a decline in contrast as we move away from the centerline. The match is not perfect as the contrast obtained from the SEM image is a convolution of the aerial image, the resist contrast and the SEM electron beam profile.

MIL with shorter wavelengths (beyond EUV light)

A notable advantage of utilizing a synchrotron source and an undulator as an insertion device is the flexibility one has in setting the wavelength shorter than the industrial standard of 13.5 nm. This could provide intriguing insights into the future of EUV lithography, where the adoption of shorter wavelengths might be considered as a means to enhance resolution. Although a wavelength reduction is not foreseeable in the near future, due to complexity in designing suitable optics, there is ongoing research towards this direction.59,60 The XIL-II beamline features a tunable undulator that can be configured to any desired wavelength at the EUV and beyond EUV (BEUV) spectrum between 18 and 2.5 nm.54

According to eqn (3), the pitch exhibits a linear correlation with the wavelength at a given angle. Fig. 6 illustrates the calculated reflectivity for our Ru mirrors as a function of both wavelength and grazing angle. The resulting HP, marked by white lines, represents a combination of grazing angle and wavelength. Consequently, this allows for the investigation of photoresist characteristics using light with shorter wavelengths, as well as the exploration of even higher resolutions. In fact, we further confine the areal image to 4 nm HP by utilizing photons with a wavelength of 10.8 nm, as shown in Fig. 7, along with other combinations of MIL devices and wavelengths. While the results appear to be of comparable quality to those obtained using the 13.5 nm, it becomes evident that achieving a HP of 4 nm exceeds the capabilities of either the resist, the applied process, or potentially both.


image file: d4nr01332h-f6.tif
Fig. 6 MIL at the BEUV spectrum. Calculated reflectivity (%) for a 10 nm Ru film as a function of photon energy and grazing angle.35 White lines show the combinations of grazing angles and wavelengths that produce the marked HP values.

image file: d4nr01332h-f7.tif
Fig. 7 MIL exposures at shorter wavelengths. SEM images of HSQ photoresist lines of HP between 4 and 8 nm obtained with wavelengths below 13.5 nm. According to eqn (3), HP depends on the grazing angle α and the wavelength λ. By tuning the undulator of the beamline, one can set the wavelength so that it gives the desired HP for a given MIL device.

Conclusions and outlook

EUV lithography has become an integral part of chip fabrication with a profound and lasting impact on the continuous shrinkage roadmap. Recent developments in high NA systems, expected to enter HVM in the next few years, along with ongoing research into hyper NA systems, have opened new avenues for pushing the boundaries of lithographic resolution. In this challenging context, we have shown that there is a lot of room for further miniaturization until the ultimate photon-related resolution. Our EUV mirror interference lithography has demonstrated 5 nm HP resolution for photon-based lithography and paves the way for the development of systems with higher NAs or BEUV wavelengths towards ultimate resolution. The sub-10 nm HP capabilities and the on-demand selection of the tool-matching NILS number make it an ideal platform for scientific and industrial applications and, notably, for the development of photoresist and underlayer materials for future technology nodes. Crucially, the demonstration of 5 and 4 nm HP using 13.5 and 10.8 nm wavelengths, shows conclusively that photons themselves are not the bottleneck for resolution. Instead, our work shifts the focus towards developing new types of photoresist materials and optimizing the existing platforms.

Regarding the MIL device, the use of new mirror materials such as multilayers optimized for high grazing angles in EUV, holds great promise to significantly enhance the efficiency by boosting the reflectivity beyond that of these prototypes. This is important especially for high-resolution HPs and shorter wavelengths, where the Ru mirror reflectivity drops. An alternative MIL device design could leverage the highly advanced fields of micro electro-mechanical systems (MEMS) fabrication and wafer-level optics (WLO). This design could enable in-situ pitch tuning by adjusting the relative positions of the mirrors in response to configuration signals and enhance both throughput and flexibility. Finally, smaller bandwidths can be achieved with the implementation of an improved undulator and temporal filters. That would increase the number of lines with high contrast, a critical aspect for certain applications that require extensive area patterning. In conclusion, we hold a strong belief that MIL stands as a pivotal technology and a vital asset of EUV lithography, one that can drive the industry to greater resolutions and contribute to the leading semiconductor manufacturers in achieving the future technological milestones.

Experimental methods

XIL-II beamline at the SLS

The XIL-II beamline is specifically designed for EUV and soft X-ray interference lithography and metrology at the SLS synchrotron facility. The electron beam in the storage ring measures 400 mA at 2.4 GeV energy. The beam exits the ring and goes through an undulator with 22 magnet pairs. The energy of the emitted coherent radiation can be adjusted between 70 and 500 eV by changing the undulator gap. Before reaching the endstation the light is reflected by 3 water-cooled mirrors with specific coatings and grazing angles, designed for distinct purposes such as alignment, focusing, and high-harmonics suppression.54 The beam is focused on a user-adjustable pinhole that serves as a spatial filter (see Fig. 1a), while the whole ensemble operates at ultra-high vacuum conditions (10−9 mbar). Finally, the light enters the endstation which is operated at a pressure of 10−7 mbar, where both the mask and the sample are positioned in a finely controlled distance. The photon flux is measured by calibrated photodiodes and the required dose is delivered by a high-speed mechanical shutter. The endstation is isolated by external vibrations, as it lies on an actively damped optical table.

Device fabrication

A MIL device consists of 2 parts, namely the metallic frame and the mirrors. The former is a micromachined component designed in the Paul Scherrer Institute and crafted by ANB Boumi AG in Switzerland using high precision manufacturing techniques. More specifically, the frame is a 10 × 10 × 4 mm unibody made by a solution-annealed Ti alloy (grade 5: Al 5%, V 4%). Shaped with a mixture of milling and wire-erosion, which is a variation of electrical discharge machining (W-EDM), the minimum feature size is 0.06 mm (radius) and the minimum material thickness 0.1 mm at any point. The photonstop measures 0.25 mm and each of the two slits that form the beams are 0.125 mm wide.

EUV mirror fabrication

Replaceable EUV mirrors are fabricated on double-polished Si wafers of 250 μm thickness. The reflective surface is a 10 nm Ru thin film that is deposited by electron-beam evaporation (Evatec BAK Uni). The deposition process was optimized for low surface roughness (root mean square: 0.15 nm) to prevent reflectivity losses.

Photoresist

The presented exposures are performed on HSQ films formed by diluting 6% XR1541 HSQ resist (DuPont) in methyl isobutyl ketone (MIBK, Technic France) at a ratio of 1[thin space (1/6-em)]:[thin space (1/6-em)]8. The thin resist films were prepared by spin-coating at 5000 rpm for 60 s and exposed without any post-application bake. Profilometry (Veeco DEKTAK 8) showed 13 nm thickness after development. Prior to coating, the Si wafers are treated with O2 plasma for 2 min (TePla 300 plasma processor) set at 160 W RF power with a gas flow of 150 sccm. For the development of HSQ we used a commercial sodium hydroxide-based developer (AZ 351B, Merck) diluted 1[thin space (1/6-em)]:[thin space (1/6-em)]3 in deionized H2O for 35 s, a standard process for high resolution and high contrast patterning.

SEM imaging

The images in Fig. 4, 5 and 7 are obtained by a Hitachi Regulus 8230 ultra-high resolution SEM tool. The working distance is 1.7 mm, the magnification 250[thin space (1/6-em)]000 times and the pixel size 0.4 nm. The landing voltage was set at 0.5 kV using a high deceleration of 3.5 kV, with the probe current kept at 10 pA. The image is acquired with a charge-suppressed scan and the collected signal is a mix of the secondary and the backscattered electrons.

Author contributions

Y. E., I. M. and D. K. conceived the MIL device and its working principles. M. V. supervised the fabrication and the assembly of the devices. I. G. performed the experiments, the SEM imaging and analyzed the data. I. G., D. K. and I. M. performed the numerical simulations. I. G. wrote the manuscript with inputs from all the authors. D. K. and Y. E. supervised the work.

Data availability

All data are available from the corresponding authors upon reasonable request.

Conflicts of interest

There are no financial/commercial conflicts to declare.

Acknowledgements

The authors would like to thank Renzo Rotundo and Markus Kropf for their technical support.

References

  1. G. E. Moore, Proc. IEEE, 1998, 86, 82–85 Search PubMed.
  2. T. R. Groves, in Nanolithography, ed. M. Feldman, Woodhead Publishing, 2014, ch. 3, pp. 80–115 Search PubMed.
  3. J. Melngailis, J. Vac. Sci. Technol., B: Microelectron. Process. Phenom., 1987, 5, 469–495 CrossRef CAS.
  4. S. Y. Chou, P. R. Krauss and P. J. Renstrom, J. Vac. Sci. Technol., B: Microelectron. Nanometer Struct.–Process., Meas., Phenom., 1996, 14, 4129–4133 CrossRef CAS.
  5. L. J. Guo, Adv. Mater., 2007, 19, 495–513 CrossRef CAS.
  6. A. Notargiacomo, V. Foglietti, E. Cianci, G. Capellini, M. Adami, P. Faraci, F. Evangelisti and C. Nicolini, Nanotechnology, 1999, 10, 458 CrossRef CAS.
  7. S. T. Howell, A. Grushina, F. Holzner and J. Brugger, Microsyst. Nanoeng., 2020, 6, 21 CrossRef PubMed.
  8. F. Holzner, P. Paul, M. Despont, L. L. Cheong, J. Hedrick, U. Dürig and A. Knoll, Proc. SPIE, 2013, 8886, 888605 CrossRef.
  9. R. Garcia, A. W. Knoll and E. Riedo, Nat. Nanotechnol., 2014, 9, 577–587 CrossRef CAS PubMed.
  10. M. A. McCord and R. F. W. Pease, J. Vac. Sci. Technol., B: Microelectron. Process. Phenom., 1986, 4, 86–88 CrossRef.
  11. R. Achal, M. Rashidi, J. Croshaw, D. Churchill, M. Taucer, T. Huff, M. Cloutier, J. Pitters and R. A. Wolkow, Nat. Commun., 2018, 9, 2778 CrossRef PubMed.
  12. D. M. Tennant, in Nanotechnology, ed. G. Timp, Springer New York, New York, NY, 1999, ch. 4, p. 164 Search PubMed.
  13. P. P. Naulleau, J. E. Bjorkholm and M. Chandhok, in EUV Lithography, ed. V. Kakshi, SPIE Press, 2009, ch. 11 Search PubMed.
  14. R. A. Lawson and A. P. G. Robinson, in Frontiers of Nanoscience, ed. A. Robinson and R. Lawson, Elsevier, 2016, vol. 11, ch. 1 Search PubMed.
  15. S. Wurm and C. Gwyn, in EUV Lithography, ed. V. Bakshi, SPIE Press, 2009, ch. 2 Search PubMed.
  16. P. J. Stirniman and L. M. Rieger, Proc. SPIE, 1994, 2197, 294–301 CrossRef.
  17. K. Ronse, M. Op de Beeck, L. Van den hove and J. Engelen, J. Vac. Sci. Technol., B: Microelectron. Nanometer Struct.–Process., Meas., Phenom., 1994, 12, 589–600 CrossRef CAS.
  18. A. Raley, S. Thibaut, N. Mohanty, K. Subhadeep, S. Nakamura, A. Ko, D. O'Meara, K. Tapily, S. Consiglio and P. Biolsi, Proc. SPIE, 2016, 9782, 97820F CrossRef.
  19. B.-J. Lin, J. Micro/Nanolithogr., MEMS, MOEMS, 2004, 3, 377–500 CrossRef CAS.
  20. S. Owa and H. Nagasaka, Proc. SPIE, 2003, 5040, 724–733 CrossRef CAS.
  21. T. Harada, S. Yamakawa, M. Toyoda and T. Watanabe, Jpn. J. Appl. Phys., 2021, 60, 087005 CrossRef CAS.
  22. M. van de Kerkhof, A. Yakunin, V. Kvon, F. van de Wetering, S. Cats, L. Heijmans, A. Nikipelov, A. Lassise and V. Banine, Proc. SPIE, 2020, 11323, 113230Y Search PubMed.
  23. J. Van Schoot, S. Lok, E. van Setten, R. Maas, K. Troost, R. Peeters, J. Finders, J. Stoeldraijer, J. Benschop, P. Graeupner, P. Kuerz and W. Kaiser, Proc. SPIE, 2021, 11517, 1151712 Search PubMed.
  24. I. Lee, J.-H. Franke, V. Philipsen, K. Ronse, S. De Gendt and E. Hendrickx, Proc. SPIE, 2023, 12494, 1249405 Search PubMed.
  25. J. Van Schoot, E. van Setten, K. Troost, S. Lok, J. Stoeldraijer, R. Peeters, J. Benschop, J. Zimmerman, P. Graeupner, L. Wischmeier, P. Kuerz and W. Kaiser, Proc. SPIE, 2020, 11323, 1132307 Search PubMed.
  26. K. Umstadter, M. Graham, M. Purvis, A. Schafgans, J. Stewart, P. Mayer and D. Brown, Proc. SPIE, 2023, 12494, 124940Z Search PubMed.
  27. H. H. Solak, C. David, J. Gobrecht, V. Golovkina, F. Cerrina, S. O. Kim and P. F. Nealey, Microelectron. Eng., 2003, 67–68, 56–62 CrossRef CAS.
  28. Y. Ekinci, H. H. Solak, C. Padeste, J. Gobrecht, M. P. Stoykovich and P. F. Nealey, Microelectron. Eng., 2007, 84, 700–704 CrossRef CAS.
  29. B. Paivanranta, A. Langner, E. Kirk, C. David and Y. Ekinci, Nanotechnology, 2011, 22, 375302 CrossRef PubMed.
  30. E. Buitrago, O. Yildirim, C. Verspaget, N. Tsugama, R. Hoefnagels, G. Rispens and Y. Ekinci, Proc. SPIE, 2015, 9422, 94221S Search PubMed.
  31. T. Allenet, M. Vockenhuber, C. K. Yeh, D. Kazazis, J. G. Santaclara, L. v. Lent-Protasova and Y. Ekinci, Proc. SPIE, 2021, 11854, 118540N Search PubMed.
  32. A. Develioglu, P. T. Allenet, M. Vockenhuber, L. van Lent-Protasova, I. Mochi, Y. Ekinci and D. Kazazis, Proc. SPIE, 2023, 12498, 1249805 Search PubMed.
  33. B. Terhalle, A. Langner, B. Päivänranta and Y. Ekinci, Proc. SPIE, 2011, 8102, 81020V CrossRef.
  34. A. Langner, B. Päivänranta, B. Terhalle and Y. Ekinci, Nanotechnology, 2012, 23, 105303 CrossRef CAS PubMed.
  35. B. L. Henke, E. M. Gullikson and J. C. Davis, At. Data Nucl. Data Tables, 1993, 54, 181–342 CrossRef CAS.
  36. D. Fan and Y. Ekinci, J. Micro/Nanolithogr., MEMS, MOEMS, 2016, 15, 033505 CrossRef.
  37. T. S. Kulmala, M. Vockenhuber, E. Buitrago, R. Fallica and Y. Ekinci, J. Micro/Nanolithogr., MEMS, MOEMS, 2015, 14, 033507 CrossRef.
  38. T. Nagai, H. Nakagawa, T. Naruoka, S. Dei, S. Tagawa, A. Oshima, S. Nagahara, G. Shiraishi, K. Yoshihara, Y. Terashita, Y. Minekawa, E. Buitrago, Y. Ekinci, O. Yildirim, M. Meeuwissen, R. Hoefnagels, G. Rispens, C. Verspaget and R. Maas, J. Photopolym. Sci. Technol., 2016, 29, 475–478 CrossRef CAS.
  39. C. Dais, H. H. Solak, Y. Ekinci, E. Müller, H. Sigg and D. Grützmacher, Surf. Sci., 2007, 601, 2787–2791 CrossRef CAS.
  40. S. Gottlieb, D. Kazazis, I. Mochi, L. Evangelio, M. Fernández-Regúlez, Y. Ekinci and F. Perez-Murano, Soft Matter, 2018, 14, 6799–6808 RSC.
  41. S. Neuhaus, C. Padeste, H. H. Solak and N. D. Spencer, Polymer, 2010, 51, 4037–4043 CrossRef CAS.
  42. W. Karim, S. A. Tschupp, M. Oezaslan, T. J. Schmidt, J. Gobrecht, J. A. van Bokhoven and Y. Ekinci, Nanoscale, 2015, 7, 7386–7393 RSC.
  43. A. Winter, Y. Ekinci, A. Gölzhäuser and A. Turchanin, 2D Mater., 2019, 6, 021002 CrossRef CAS.
  44. M. Schnietz, A. Turchanin, C. T. Nottbohm, A. Beyer, H. H. Solak, P. Hinze, T. Weimann and A. Gölzhäuser, Small, 2009, 5, 2651–2655 CrossRef CAS PubMed.
  45. H. H. Solak and Y. Ekinci, J. Vac. Sci. Technol., B: Microelectron. Nanometer Struct.–Process., Meas., Phenom., 2007, 25, 2123–2126 CrossRef CAS.
  46. B. Städler, H. H. Solak, S. Frerker, K. Bonroy, F. Frederix, J. Vörös and H. M. Grandin, Nanotechnology, 2007, 18, 155306 CrossRef.
  47. K. C. Daoulas, M. Müller, M. P. Stoykovich, Y. J. Papakonstantopoulos, J. J. de Pablo, P. F. Nealey, S.-M. Park and H. H. Solak, J. Polym. Sci., Part A: Polym. Chem., 2006, 44, 2589–2604 CrossRef CAS.
  48. Y. Ekinci, H. H. Solak, C. David and H. Sigg, Opt. Express, 2006, 14, 2323–2334 CrossRef CAS PubMed.
  49. X. Wang, D. Kazazis, L.-T. Tseng, A. P. G. Robinson and Y. Ekinci, Nanotechnology, 2021, 33, 065301 CrossRef PubMed.
  50. J.-M. Park, W. Leung, K. Constant, T.-G. Kim and K.-M. Ho, in Nanowires, ed. H. Abbass, IntechOpen, Rijeka, 2011, ch. 21, pp. 472–474 Search PubMed.
  51. H. H. Solak, D. He, W. Li, S. Singh-Gasson, F. Cerrina, B. H. Sohn, X. M. Yang and P. Nealey, Appl. Phys. Lett., 1999, 75, 2328–2330 CrossRef CAS.
  52. H. Kim, P. Baksh, M. Odstrcil, M. Miszczak, J. G. Frey, L. Juschkin and W. S. Brocklesby, Appl. Phys. Express, 2016, 9, 076701 CrossRef.
  53. K. Dorney, S. Castellanos, E. Larsen, F. Holzmeier, D. Singh, N. Vandenbroeck, D. De Simone, P. De Schepper, A. VaglioPret, C. Bargsten, S. Cousin, D. Raymondson, E. Rinard, R. Ward, H. Kaptyen, T. Nuytten, P. Van der Heide and J. Petersen, Presented at SPIE Advanced Lithography, 2021, 11610.
  54. N. Mojarad, J. Gobrecht and Y. Ekinci, Microelectron. Eng., 2015, 143, 55–63 CrossRef CAS.
  55. X. Wang, L.-T. Tseng, D. Kazazis, Z. Tasdemir, M. Vockenhuber, I. Mochi and Y. Ekinci, J. Micro/Nanolithogr., MEMS, MOEMS, 2019, 18, 013501 CAS.
  56. H. J. Levinson, Principles of lithography, SPIE Press, Bellingham, 3rd edn, 2010 Search PubMed.
  57. Z. Tasdemir, I. Mochi, K. G. Olvera, M. Meeuwissen, O. Yildirim, R. Custers, R. Hoefnagels, G. Rispens, R. Fallica, M. Vockenhuber and Y. Ekinci, Proc. SPIE, 2017, 10450, 104501T Search PubMed.
  58. H. Namatsu, T. Yamaguchi, M. Nagase, K. Yamazaki and K. Kurihara, Microelectron. Eng., 1998, 41–42, 331–334 CrossRef.
  59. T. Otsuka, B. Li, C. O'Gorman, C. Thomas, D. Kilbane, T. Higashiguchi, N. Yugami, W. Jiang, A. Endo, P. Dunne and G. O'Sullivan, Proc. SPIE, 2012, 8322, 832214 CrossRef.
  60. N. Mojarad, J. Gobrecht and Y. Ekinci, Sci. Rep., 2015, 5, 9235 CrossRef CAS PubMed.

Footnote

Electronic supplementary information (ESI) available. See DOI: https://doi.org/10.1039/d4nr01332h

This journal is © The Royal Society of Chemistry 2024