Open Access Article
This Open Access Article is licensed under a
Creative Commons Attribution 3.0 Unported Licence

EUV photofragmentation study of hybrid nonchemically amplified resists containing antimony as an absorption enhancer

Cleverson Alves da Silva Moura a, Guilherme Kretzmann Belmontea, Pulikanti Guruprasad Reddyb, Kenneth E. Gonslavesb and Daniel Eduardo Weibel*a
aDepartment of Chemical Physics, Chemical Institute, UFRGS, Porto Alegre, 91501-970, RS, Brazil. E-mail: danielw@iq.ufrgs.br
bSchool of Basic Sciences, Indian Institute of Technology Mandi, Mandi – 175001, Himachal Pradesh, India

Received 30th November 2017 , Accepted 11th March 2018

First published on 19th March 2018


Abstract

A detailed investigation to understand the mechanism of the resist action at a fundamental level is essential for future Extreme Ultraviolet Lithography (EUVL) resists. The photodynamics study of a newly developed hybrid nonchemically amplified 2.15%-MAPDSA–MAPDST resist using synchrotron radiation excitation at 103.5 eV (12 nm) is presented. Antimony was incorporated in the resist as a heavy metal absorption center in the form of antimonate (2.15%). The results showed the fast decomposition rate of the radiation sensitive sulfonium triflate. HR-XPS and sulfur L-NEXAFS spectra of the copolymer films revealed that after irradiation the Ar–S+–(CH3)2 sulfonium group bonded to the phenyl ring resisted the EUV excitation. Those results confirmed the polarity switching mechanism from hydrophilic sulfonium triflates to hydrophobic aromatic sulfides obtained in previous results. The inorganic component SbF6 included in the resist formulations as an EUV absorption enhancer was particularly illustrative of the photofragmentation process. F 1s and O 1s HR-XPS spectra showed that fluorine remains linked to the antimony, even after 15 min of irradiation. A change of the antimony oxidation state was also observed with an increase in irradiation time. The presence of the heavy metal may control the high energy deposited on the resist which finally led to very well resolved 20 nm isolated line patterns by EUVL. The 10 times improved sensitivity compared with previous poly-MAPDST resists studied in the past showed the potential of this class of hybrid resists for next generation semiconductor industry applications.


1 Introduction

As the potential of extreme ultraviolet lithography (EUVL) is extended beyond the 10 nm range and below,1 it is clear that a shift in resist design is paramount.2–4 The concepts to be considered, among others, include essentially non-chemically amplified resists (n-CARs) and hybrids.4–15 The design paradigm has to incorporate the basic principles of conventional resists superimposed with the specific requirements of EUVL for attaining the lower nodes.12,15,16 The interaction of the resist thin films with high energy EUV photons (13.5 nm) is a very complex process triggered by EUV radiation that breaks the chemical bonds and simultaneously produces ablation and a high yield of secondary electrons.15,16 This results in degassing which causes chemical and morphological changes in the resist surface. One of the key challenges in EUVL is simultaneously meeting resist performance targets like sensitivity, resolution, etch resistance, and line edge roughness (LER).15 Therefore, photons in EUVL produce unique challenges that need detailed investigation via a proper light source and complementary in situ sensitive analytical techniques.

A recent review on electron beam lithography summarizes the new developments in resists and classifies them according to their various functions, merits and chemical compositions.17 Actual polymer films for next generation lithography have poor EUV absorption cross sections; low etch resistance and low performance for high resolution patterning. In this sense, organic/inorganic hybrid photoresists have received attention in recent years due to their combined functionalities arising from both inorganic and organic components. It has been shown that the incorporation of inorganic units such as metals or metal nanoparticles (NPs) give to the organic photoresists a higher etch resistance with simultaneous increase of the absorption cross section in the EUV region.4,5 For example, new designs for EUV resists based on organometallic carboxylates containing antimony, bismuth, tin or tellurium were prepared with the objective to use the high EUV optical density of the metals to increase the photon absorbance of thin films.18 The results showed that the resists containing metals had higher sensitivity compared with only organic ones, being the most sensitive the resist with antimony and tellurium the less. Incorporation of NPs in hybrid photoresist materials has also been investigated with the objective to obtain high absorption centres for EUVL.13,18

Attempts to understand the mechanisms after the absorption of the high energy EUV photons were also carried out in resists containing metals and NPs.11,19,20 In an interesting photolithographic study of the properties of tin-oxo clusters, the effect of resist sensitivity of the structures of the carboxylic counter-anions and organic ligands was investigated.11 The authors hypothesized that an important mechanism of carbon–tin bond homolysis during exposure was responsible for the high resolution capabilities of those materials. They proposed that the higher optical densities of tin and oxygen atoms compared to carbon atoms provided superior EUVL performance based on more efficient utilization of the EUV photons. A mechanism investigation on Hf-based hybrid photoresists have been performed by studying the influence of surface organic ligands on the physicochemical properties of the hybrids resists.19 In spite, the authors studied the NPs size dependence with the ultraviolet (UV) irradiation time; they were able to correlate the UV data with the EUVL pattering results. They showed a relationship between the very high sensitivity to EUV radiation with the NPs size that finally led to high sensitivity and high resolution patterns.

In the last years we have studied the photofragmentation of several n-CAR homopolymers and co-polymers under EUV synchrotron radiation (SR) excitation.4,9,15,16,21 The obtained results showed that the photodegradation processes affected mainly the triflate group but also the carbon backbone of the resists. In those works it was hypothesized that the neutral sulfide Ar–S–CH3 is formed after irradiation rendering the irradiated area insoluble in the developer. It was found a direct effect of the EUV irradiation changing the resist polarity from initially hydrophilic to hydrophobic. Recently, the concepts of hybrid resists incorporating EUV absorbing metals such as antimony in a n-CAR platform and the EUV lithography results have also been studied.22,23 The n-CAR 1.5 and 2.15% MAPDSA–MAPDST (where MAPDST = (4-(methacryloyloxy) phenyl)dimethylsulfoniumtriflate and MAPDSA = (4-(methacryloyloxy)phenyl)dimethylsulfoniumhexafluoroantimonate) resists revealed improved sensitivity as compared to the poly-MAPDST resist for EUVL in spite the low concentration of hexafluoroantimonate used. The sizing dose used for high resolution line patterns when the SbF6 units were incorporated in the 1.5% and 2.15% resists resulted in the improvement of the resists' sensitivity by 2.5 and 10 times, respectively, compared to the poly-MAPDST resist.15,21,23

Therefore, herein it is presented the photofragmentation investigation of hybrid 2.15% MAPDSA–MAPDST resist incorporating EUV absorbing metals such as antimony. The resist structure incorporates a radiation sensitive sulfonium triflate and the inorganic moiety SbF6 acting as a sensitivity enhancer for 13.5 nm photons. The 2.15% MAPDSA–MAPDST resist was chosen for the present study because of its improved lithography performances under EUVL. Evidence from our previous research findings,24 showed that the 2.15%-MAPDSA–MAPDST resist has high EUV sensitivity and resolution for ∼20 nm line features than 1.5%-MAPDSA–MAPDST resist. This effect was mainly due to the presence of high inorganic hexafluoroantimonate content in the 2.15%-MAPDSA–MAPDST resist backbone. The results showed that the presence of SbF6, even in low concentration, led to a higher etch resistance while maintaining the required processing properties of the resists. This study was carried out using SR as highly monochromatic photon excitation source at 103.5 eV (12 nm). Near-edge X-ray absorption fine structure (NEXAFS) and X-ray photoelectron spectroscopy (XPS) were used as highly sensitive surface analytical techniques for characterization to follow the surface chemical changes after EUV irradiation.

2 Experimental section

2.1 Materials

The 2.15%-MAPDSA–MAPDST resist (see Fig. 1) was synthesized according to previous protocols by the co-polymerization between the starting organic monomer, MAPDST, and the hybrid monomer, MAPDSA, using azobisisobutyronitrile (AIBN) as a free radical initiator.23 Oxygen (99.999%) and argon (99.999%) were obtained from White Martins-Praxair, Inc. and used as received. They were used for surface oxidation during the experiments and for thin film preparation, respectively. Potassium trifluoromethanesulfonate (98%) was purchased from Sigma Aldrich and was also used as received.
image file: c7ra12934c-f1.tif
Fig. 1 Chemical structure of the 2.15% MAPDSA–MAPDST resist.

2.2 Thin film preparation and EUV exposure details

The resist solution (3 wt%) was prepared by dissolving 2.15%-MAPDSA–MAPDST resist in acetonitrile. The smooth thin films (∼45 nm thickness) were achieved by spin coating the above resist solutions at 4500 rpm for 60 s on 4′′, p-type HMDS coated Si wafers. The EUV exposure of these thin films was performed at LBNL using an ALS MET Standard Mask IMO228775 with field R4C3 (LBNL low flare bright-field). The negative patterns were generated by developing of the EUV exposed Si substrates in an aqueous 0.022 N tetramethylammonium hydroxide (TMAH) developer for 15 s. Field Emission Scanning Electron Microscope (FE-SEM- Carl Zeiss, Ultra Plus) and Atomic Force Microscopy (AFM – Dimension Icon from Bruker) were utilized for investigating the critical dimensions of the line and other complex patterns obtained.

2.3 Synchrotron radiation studies

The photofragmentation study of the 2.15% MAPDSA–MAPDST resist was carried out following the methodology already used in previous works.21 Briefly, the 2.15% MAPDSA–MAPDST resist films were prepared using the spin coating technique from a 10−4 mol L−1 acetonitrile solution on Si wafers of about 5 × 10 mm in size. The thin films were prepared inside a glove box in an argon atmosphere and without the presence of UV light. Irradiation of the resist thin films at 103.5 eV was carried out for a fixed period of time (1, 5 and 15 min) with a spot size of the photon beam at the sample of about 500 μm. Light from 103.5 eV (12 nm) was chosen due to it's high intensity and because it was very close to the actual 13.5 nm used for next generation EUVL. Each irradiation was carried out on pristine films to allow comparison with non irradiated data. The photon intensity at 103.5 eV was about a few hundred times higher than the regions used for the acquisition of the NEXAFS and XPS spectra. Therefore beam damage from XPS and NEXAFS can be ruled out. After irradiation, the samples were transferred to the UHV preparation chamber and pure oxygen at a pressure of about 10−5 mbar was introduced for 30 min to neutralize the remaining radicals on the film surface. After oxidation the samples were introduced again into the UHV analysis chamber. The sample position was computer-controlled by an XYZ sample manipulator, which was housed in the UHV chamber (P ∼ 1 × 10−9 mbar). The right positions of the irradiated areas were easily confirmed by moving ∼1–1.5 mm up–down or right–left the XYZ sample manipulator. Pristine NEXAFS and XPS spectra of the films were recorded outside the irradiated areas.

Potassium trifluoromethanesulfonate thin films, used as a reference of the triflate functional group of the resist, were obtained by dissolving a few mg in methanol at a concentration of 10−4 mol L−1. The films were formed by spin-coating a drop on Si(100) wafers of about 5 × 10 mm in size.

Synchrotron radiation (SR) experiments were carried out at the Brazilian Synchrotron Light Source (LNLS), Campinas, Brazil. SR monochromatic photons in the range 100 to 1500 eV were obtained using the planar grating monochromator (PGM) beam line for EUV, VUV, and soft X-ray spectroscopy. With a resolving power (EE) of 1000–25[thin space (1/6-em)]000 and a photon flux at the sample between 1011 and 1013 (photon per s). The Si wafers were directly attached to the sample holder using conducting double-sided tape. Samples outside the UHV chamber were always manipulated in an inert atmosphere and UV light exposure was avoided.

Resist thin films were characterized before and after irradiation using NEXAFS and XPS spectroscopy. NEXAFS spectra were obtained by measuring the total electron yield (electron current at the sample) simultaneously with a photon flux monitor (Au grid). The final data was normalized by the flux spectrum to correct for fluctuations in beam intensity. The software package ATHENA, used for the analysis of X-ray absorption spectroscopy, was used for final treatment of the data.24 XPS spectra were obtained using a high-performance hemispheric SPECSLAB II energy analyzer (Phoibos-Hs 3500[thin space (1/6-em)]150 analyzer, SPECS, Berlin, Germany). The signal of the Au 4f7/2,5/2 electrons was used for calibration of the analyzer. The photon energy was fixed at 728 eV for recording the survey and high-resolution (HR)-XPS spectra of F 1s and O 1s. For HR-XPS spectra of C 1s and S 2p the excitation energy was set at 350 eV. A pass energy of 30 eV was used for the survey spectra, whereas HR-XPS spectra of single core atom excitations were recorded with a pass energy of 10 eV. The position of the C–C/C–H signals (C 1s, 285.0 eV) was used for energy calibration. The HR-XPS envelopes were analyzed and peak-fitted after subtraction of the Shirley background, using Gaussian–Lorentzian peak shapes obtained from the CasaXPS software package. Due to overlapping of O 1s and Sb 3p5/2, synthetic components from O 1s HR-XPS spectra were combined with survey mode data (TAGS quantification).

3 Results and discussion

3.1 Untreated resist thin films characterization

NEXAFS spectra of the carbon K-edge, oxygen K-edge, and sulfur L-edge of the 2.15% MAPDSA–MAPDST pristine resists before irradiation are shown in Fig. 2. The main features in the C 1s absorption spectra (Fig. 2) can be attributed as follows:25,26 image file: c7ra12934c-t1.tif which overlaps with the wide signal at about 292 eV, which can be assigned to a typical image file: c7ra12934c-t2.tif transition.25,27 The second π transition labeled as image file: c7ra12934c-t3.tif may be caused by two effects. The first would be an unfolding of the energy levels of C (1s) due to the presence of two different carbon bonds linked to O and S atoms. These different bonds increase the energy of the degenerate states of the π* molecular orbitals of the benzyl ring. The second cause would be the transitions to different π* orbitals.28 However, it is necessary to point out that the image file: c7ra12934c-t4.tif transition is very well characterized in previous works29,30 and may overlap with the image file: c7ra12934c-t5.tif transition. The weak signal observed at 283.6 eV can be a result of the normalization and data treatment of the data because it was not observed any dependence on the irradiation time (see Section 3.2, carbon K-edge NEXAFS spectra).
image file: c7ra12934c-f2.tif
Fig. 2 K and L-Near Edge X-ray Absorption Fine Structure (NEXAFS) spectra of the untreated 2.15% MAPDSA–MAPDST pristine resists.

The chemical structure of the 2.15% MAPDSA–MAPDST resist shows two types of oxygen sites (see Fig. 1): carbonyl and sulfonated oxygen. The O 1s NEXAFS spectrum (Fig. 2) has a simpler interpretation than the C 1s transitions, which reflect the chemical structure of the resist. The lowest energy signal can be attributed to image file: c7ra12934c-t6.tif and the second discrete transition may involve the image file: c7ra12934c-t7.tif transition.31 Finally, the higher energy peaks, at about 540 and 545 eV, can be assigned to image file: c7ra12934c-t8.tif transitions, respectively.31

Finally, the sulfur L-edge in Fig. 2 showed an interesting structure: the signal at 166.4 eV can be assigned to electronic transitions involving the spin–orbit split of the S 2p excited species (2p1/2 and 2p3/2 levels) mainly due to the unoccupied π* antibonding orbitals.32–34 A mixture of several transitions can be invoked in the signal that appeared at 168 eV: image file: c7ra12934c-t9.tif and S 2p → empty S 3d states33,34. Finally the signals at about 172 eV and 182 eV may also be assigned to higher energy transitions S 2p → empty S 3d and image file: c7ra12934c-t10.tif sulfonic acid functionalities.

High-resolution (HR) XPS data from the C 1s, O 1s, S 2p and F 1s elements of pristine resists were obtained, and the results are shown in Fig. 3. The C 1s envelope of the HR-XPS spectrum of untreated films (Fig. 3) revealed typical signals corresponding to the aliphatic and aromatic contributions (C–C/C–H), C–O, C–S, COO, and CF3 functionalities, which agree with the data previously obtained for the MAPDST homopolymer and MAPDST–MMA copolymers.15,21,35–37 The S 2p spectrum of the untreated 2.15% MAPDSA–MAPDST surface shows four spin–orbit split doublets, having binding energies that are characteristic of S–C,38,39 S[double bond, length as m-dash]O, SO3, and SO4.40 The presence of four contributions in the S 2p envelope was necessary to fit the experimental signal, which probably could be caused by a possible partial oxidation/degradation of the resists. The relative contribution of this highly oxidized sulfur species was lower than 12%. O 1s and F 1s signals show clear evidence of the presence of Sb. The envelope of the O 1s revels the presence of O[double bond, length as m-dash]C, the overlapping of O–C and, O–S signals and the presence of the Sb 3d5/2 signal.41–44 The Sb 3d5/2 signal is evident due to the presence of the Sb 3d3/2 signal at about 540.5 eV. This peak is situated at about 9.4 eV from the Sb 3d5/2 signal, agreeing with the 9.34 eV theoretical value.44 Finally, the F 1s HR-XPS spectra shows the presence of two peaks that can be assigned to F–Sb and F–C.41,42,44


image file: c7ra12934c-f3.tif
Fig. 3 High-resolution XPS spectra of the C 1s, O 1s, S 2p and F 1s envelopes of the 2.15% MAPDSA–MAPDST resist films before irradiation.

3.2 Photofragmentation study at 103.5 eV

Survey XPS spectra were obtained from pristine 2.15% MAPDSA–MAPDST resists after irradiation at 103.5 eV for several times intervals (see ESI). Fig. 4 shows a fast defluorination and a loss of sulfonated groups as a result of an increase in the irradiation time for the copolymer resist films. The results obtained were not surprising as the MAPDST homopolymer thin films have previously shown an efficient desorption process of CF3+, SO+, and SO2+ fragments when irradiated at 103.5 eV.15 Irradiation led to a change in surface chemical composition, with an increase in carbon content and a strong decrease in polar functional groups. Interestingly, the relative concentration of antimony at the surface increased with the increase in irradiation time. The last result will be addressed later on.
image file: c7ra12934c-f4.tif
Fig. 4 Dependence of the elemental relative atomic percent concentrations of untreated and irradiated 2.15% MAPDSA–MAPDST resist films on the irradiation time. Excitation energy: 103.5 eV. Data obtained from survey XPS spectra. The Sb relative concentration was multiplied by 10 for better presentation.

To obtain more information about the effect of the EUV irradiation on the films, NEXAFS spectra were acquired for irradiated 2.15% MAPDSA–MAPDST resists films. The effect of the 103.5 eV photons on potassium trifluoromethanesulfonate thin films spin-coated on Si(100) was also investigated. This data was used as a reference to better understand the role of the triflate functional group during the photofragmentation process. The triflate results are shown in Fig. 5A. The NEXAFS spectrum of a potassium trifluoromethanesulfonate thin film shows two main signals at 296.4 eV and 299.7 eV in agreement with previous works.45,46 These transitions can be assigned to electronic excitation from the C 1s to image file: c7ra12934c-t11.tif empty states, respectively. Irradiation of the triflate thin film at 103.5 eV for 5 min led to a strong decrease in the signal intensity of both transitions showing the high sensitivity of the triflate functional group to EUV photons.


image file: c7ra12934c-f5.tif
Fig. 5 Carbon K-edge NEXAFS spectra of: (A) untreated and SR irradiated potassium trifluoromethanesulfonate thin films. Irradiation time: 5 min (B) untreated and SR irradiated 2.15% MAPDSA–MAPDST resist films for 1, 5 and 15 min of irradiation time. Excitation energy: 103.5 eV.

The carbon K-edge NEXAFS spectrum of untreated 2.15% MAPDSA–MAPDST resist films shows two signals, identified as b1 and b2 in Fig. 5B, which may correspond to the triflate functional group of the copolymer. Both of these signals completely disappeared after only 1 min of irradiation at 103.5 eV, proving also the high sensitivity of the triflate group under EUV irradiation when it is incorporated in the resist. A strong decrease in the intensity signal corresponding to a transition image file: c7ra12934c-t12.tif is also observed (compare with Fig. 2). Photons at 103.5 eV are not resonant and are absorbed by any chemical bond and functional groups of the copolymer. Fig. 5B also shows that the intensity signal of the image file: c7ra12934c-t13.tif transition strongly decreased and became slightly wider at longer irradiation times at 103.5 eV, indicating the presence of different chemical C[double bond, length as m-dash]O groups formed after irradiation/oxidation. image file: c7ra12934c-t14.tif transitions are also affected to different degrees when the irradiation time increased. The above results were also confirmed using XPS (see ESI).

HR-XPS spectra of the S 2p envelope showed that when the irradiation time increased, the 2p3/2 and 2p1/2 signals of the S–C functionality resisted the irradiation (see Fig. 6). A typical HR-XPS spectrum of S 2p (see top of Fig. 6) lost the oxygenated components when the irradiation time increased. After 15 min of irradiation at 103.5 eV, approximately 85% of the HR-XPS S 2p signal corresponded to the S–C functional group. Similar results were already observed for the MAPDST homopolymer resist in previous studies.15 In that study, it was assumed that after irradiation, the S–C bonding, probably belonging to the (dimethylthio)phenyl group, resisted the effect of irradiation at 103.5 eV. Due to the photofragmentation process, the irradiated area became insoluble in the developer, changing the polarity from being initially hydrophilic to hydrophobic. Trying to obtain more information of this surface conversion process, sulfur L-NEXAFS spectra were obtained before and after treatment at 103.5 eV. The results can be seen in Fig. 7.


image file: c7ra12934c-f6.tif
Fig. 6 High-resolution XPS spectra of the S 2p envelope of the 2.15% MAPDSA–MAPDST resist films before and after 1, 5, and 15 min of irradiation by synchrotron radiation at 103.5 eV.

image file: c7ra12934c-f7.tif
Fig. 7 Sulfur L-NEXAFS spectra of the untreated 2.15% MAPDSA–MAPDST pristine resist before and after irradiation at 103.5 eV. The untreated spectrum shown in Fig. 1 is included for better comparison of the data.

The results presented in Fig. 7 match the information obtained using XPS, i.e., a general loss of sulfonated groups is observed with the increase in irradiation time. However, the higher surface sensitivity of NEXAFS shows that a signal at about 164.8 eV is the only signal that is continuously increasing with the increase in irradiation time (see inset in Fig. 7). In previous HR L-NEXAFS studies of several inorganic and organic sulfur model compounds the authors have used the models spectral as finger prints to identify several organic functional groups in untreated coal.47,48 Those functionalities included alkyl and aryl sulfides, alkyl and aryl disulfides, and heterocyclic sulfurs.47 The signal that merges (see inset in Fig. 7), when the irradiation time increases may be assigned to a –CH2–S–CH2– functional group, i.e., a R–S+–(CH3)2 sulfonium group bonded to the phenyl ring in the case of the 2.15% MAPDSA–MAPDST pristine resist. The NEXAFS results of Fig. 7 give more information about the assumed mechanism of polarity change that makes the exposed area less polar than the unexposed area, which in turn leads to differences in solubility of these exposed and unexposed areas.

It was observed that the relative concentration of antimony at the surface increased with the increase in irradiation time (see Fig. 4). To gain insight into the photofragmentation process that occurs after the absorption of the highly energetic 103.5 eV photons, HR-XPS data was acquired for the F 1s and O 1s signals. The results presented in Fig. 8A show the evolution of the F 1s signal with the increase in the irradiation time at 103.5 eV of excitation energy. Two signals can be identified in Fig. 8A corresponding to the different chemical environments of the fluorine atoms that can be assigned to the triflate and antimony groups.36,37,44,49 It is possible to see in Fig. 8A that the F–C signal originated from the triflate group is strongly affected by the EUV photons and disappeared after 1 min of irradiation. This result agrees with the results shown in Fig. 5 and 6 where the triflate group is easily fragmented after 103.5 eV of photon excitation. However, the F–Sb component in the F 1s signal still remains after 15 min of irradiation. A shift of about 0.88 eV to lower binding energies is also observed indicating a decrease in the electronegativity around the fluorine atoms attached to the antimony atom (see Fig. 8A). A recent study of 1-alkyl-3-methylimidazolium hexafluoroantimonate(V) ionic liquids showed that continuous irradiation with X-ray from an Al Kα source led to a photoreduction of Sb(V) to Sb(III).49 The authors suggested that the X-ray exposure even at room temperatures led to SbF3 as a product of photoreduction The SbF6 anion. Consequently, the shift of about 0.88 eV shown in Fig. 8A can be assigned to a partial desorption of fluorine atoms from the SbF6 anion. The inorganic moiety SbF6 has evidently higher resistance to the 103.5 eV photons than the triflate group, even after 15 min of irradiation.


image file: c7ra12934c-f8.tif
Fig. 8 High-resolution XPS spectra of the F 1s (A) and O 1s (B) signals of the 2.15% MAPDSA–MAPDST resist films before and after 1, 5, and 15 min of irradiation by synchrotron radiation at 103.5 eV.

Additional information on the photofragmentation mechanism can be revealed when the O 1s HR-XPS signal is studied as a function of the irradiation time. Fig. 8B shows the HR-XPS spectra in the energy region of O 1s signal and its dependence on the irradiation time. In this binding energy region, it is possible to follow the evolution of a Sb 3d3/2 signal independently to the changes observed for the O 1s signal, which in turn overlaps with the Sb 3d5/2 signal. As can be seen in Fig. 8B, a continuous shift to lower binding energies is observed in the Sb 3d3/2 signal with the increase in irradiation time. That decrease in binding energy was previously observed in preliminary studies of different antimony compounds.41,49,50 For example, the shift between the binding energies of NaSbF6 and Sb2O3 was about 2.6 eV to lower energies, which was close to the maximum observed shift of 2.3 eV obtained here (see Fig. 8B). Simultaneously, the chemical composition of the O 1s envelope changed according to the increase in irradiation time. The Sb 3d5/2 relative signal composition compared to O 1s signal, increased from 3% in the pristine film to about 10% when the film was irradiated for 15 min. The combination of these results with Fig. 8A may indicate that the antimony remain partially fluorinated in the surface region after irradiation because the F 1s (F–Sb) signal remained after 15 min of irradiation. The above results may show an important role of the inorganic SbF6 moiety during irradiation: the SbF6 group can function as a component in the composition of the 2.15% MAPDSA–MAPDST resist film that has higher resistant to irradiation compared with, for example, the sulfonium triflate group. The mechanistic origin of the lower rate of fluorine loss under 103.5 eV is an open question. A heavy metal, such as antimony should absorb more EUV photons than lighter atoms. From the results presented here the high rate of EUV photons did not led to a rapid defluorination of the SbF6 moiety. The fluoresce properties of antimony compounds in inorganic and organic compounds have been studied in the past.51–53 As long as Sb is present and keeps absorbing photons, it will probably emit photons too. Fluorescence measurements were not carried out in the present study. The higher optical density of Sb is possibly contributing to the enhanced sensitivity of the resist (8–10 relative to the carbon optical density of 0–2)54 allowing a control of the etching. As it was proposed in a previous work containing tin,11 the Sb–F bond homolysis and the higher optical densities of antimony compared to carbon atoms led to a superior EUVL performance by efficient utilization of the EUV photons.

3.3 Extreme ultraviolet lithography (EUVL)

In previous studies21 it was reported the synthesis of the above hybrid copolymers, their EUV exposures and patterning sensitivities based on antimony content, LER/LWR as well as other issues. High resolution isolated and dense 20 nm lines and various complex nano features including waves, boats, pillars, star-elbow etc. have been successfully patterned by EUV exposure. The 2.15% MAPDSA–MAPDST resist was capable of patterning 20 nm lines at an exposure dose of 26 mJ cm−2 and the results are presented in Fig. 9. As can be seen in Fig. 9, isolated line patterns from 35 to 20 nm were very well resolved. The sensitivity of these newly designed organic–inorganic hybrid resist formulations towards EUV radiation demonstrated that they have better performances as compared to pure MAPDST based organic resists most probably due to the incorporation of hexafluoroantimonate in the polymer back bone. The presence of inorganic SbF6, even in low concentration, may lead to a higher etch resistances while maintaining the required processing properties of the resists. In a previous work it was hypothesized that the enhanced sensitivity of the copolymer compared to the base poly-MAPDST homo polymer was due to the higher optical density of Sb relative to carbon.23 The present results showed that the SbF6 inorganic moiety may control the deposited energy on the resist by gradual homolysis of Sb–F bonds which lost fluorine atoms at a much lower rate that the sulfonium triflate functionality.
image file: c7ra12934c-f9.tif
Fig. 9 EUV exposed patterns of 2.15%-MAPDSA–MAPDST resist: (A) FE-SEM image of isolated 20, 25, 30, and 35 nm line patterns with 1[thin space (1/6-em)]:[thin space (1/6-em)]5 duty cycles; (B) AFM image of 20, 25 and 30 nm lines with L/5S (line/space) patterns.

Finally, the developed 2.15%-MAPDSA–MAPDST hybrid resist exhibits the following characteristics:22,23,55

(i) The resist offered a maximum resolution of 20 nm line features with the maximum sensitivity of 22 mJ cm−2 under EUVL (see the image in the ESI).

(ii) The resist also showed high sensitivity under electron beam (e-beam) and helium ion beam (He+ ion) lithography tools for ∼20 nm patterning applications with high sensitivity and low line edge roughness (LER). For example, the calculated sensitivity and LER for 20 nm features exhibiting the resist under He+ ion lithography is 7.2 μC cm−2 and (1.27 ± 0.31) nm respectively, which are close to the semiconductor roadmap requirements (ITRS-2016).

(iii) The resist exhibited high thermal stability (220 °C), low out gassing properties and compatibility of using industrial standard developer such as TMAH for negative tone patterning.

However, the MAPDSA–MAPDST resist was unable to pattern the sub-10 nm features as the semiconductor industries are particularly looking for efficient ICs production. Therefore, currently our research is focused to improve the resist resolution, particularly for sub-10 nm regime, through structural tuning methods.

4 Conclusions

In the present work, a detailed photodynamic study was carried out using SR as an excitation source as well as high surface sensitive analytical tools (NEXAFS and XPS spectroscopy). The investigation clearly showed a fast decomposition rate of the radiation sensitive sulfonium triflate followed with important changes in the ester group. A high rate of defluorination and a loss of sulfonated groups as a result of an increase in the irradiation time for the 2.15% MAPDSA–MAPDST resist thin films were observed. Sulfur L-NEXAFS spectra of the 2.15% MAPDSA–MAPDST resist thin films showed that irradiation at 103.5 eV led to a general decrease in signals, except one signal at about 164.8 eV. This transition was assigned to a –CH2–S–CH2– functional group, i.e., a Ar–S+–(CH3)2 sulfonium group bonded to the phenyl ring in the case of the 2.15% MAPDSA–MAPDST pristine resist. EUV irradiation of the films showed that the triflate and the ester group are the weakest part of the 2.15% MAPDSA–MAPDST resist.

The detailed HR-XPS results on the energy regions of F 1s and O 1s indicated an important role of the inorganic SbF6 moiety during irradiation. It is thought that significant advances would result from synthesizing high EUV absorbance resist materials using heavier atoms, for example, when 13.5 nm photons are used. The obtained results have shown that the inorganic SbF6 moiety has a much lower rate of defluorination that the triflate group. Even after 15 min of irradiation, where there was no more sulfonium triflate in the surface region, fluorine linked to antimony was present. The decrease in binding energy of the F–Sb and Sb 3d HR-XPS signals indicated a continuous decrease in the electronegativity of the atoms linked to the antimony during the partial desorption of fluorine when the irradiation time increased.

Finally, the results have shown the complex principles that may govern the photodynamic pathways of photofragmentation of the 2.15% MAPDSA–MAPDST resist: easy decomposition of the radiation sensitive group (sulfonium triflate), polarity switch mechanism due to EUV irradiation with loss of C[double bond, length as m-dash]O (most likely decarboxylation of ester), transformation of the sulfonium group and the presence of the inorganic SbF6 moiety that may control the excess energy deposited on the resist. Further studies of resists incorporating heavy metals are under way with the objective to clarify the actual role of the metals. In addition to surface analysis, fluorescence and outgassing measurements will be carried simultaneously with EUV irradiation. Those results will be published elsewhere. The sensitivity of these newly designed organic–inorganic hybrid resist formulations towards EUVL demonstrated that they have better performances as compared to previous based organic resists.

Conflicts of interest

There are no conflicts to declare.

Acknowledgements

This work was partially supported by CNPq, CAPES, and LNLS, Brazil. The authors would also like to strongly acknowledge the technical assistance of the Accelerator Group, especially the VUV and Soft X-ray Spectroscopy Group. The authors C. A. S. M. and G. K. B. acknowledge receipt of CNPq fellowships for financial support. KEG acknowledges to Dr Satinder K. Sharma, School of Computing and Electrical Engineering, IIT Mandi for the FE-SEM and AFM characterizations. The partial funding from Intel Corp USA administered through SRC USA is acknowledged as well as the EUV exposure facility at LBNL. P. G. Reddy thanks to the Council of Scientific and Industrial Research (CSIR), New Delhi, India for senior research fellowship.

References

  1. https://www.euvlitho.com/2017/2017%20EUVL%20Workshop%20First%20Call%20for%20Papers.pdf.
  2. H. Ito, Adv. Polym. Sci., 2005, 172, 37–245 CrossRef CAS.
  3. S. A. MacDonald, C. G. Willson and J. M. J. Frechet, Acc. Chem. Res., 1994, 27, 151–158 CrossRef CAS.
  4. S. Ghosh, C. P. Pradeep, S. K. Sharma, P. G. Reddy, S. P. Pal and K. E. Gonsalves, RSC Adv., 2016, 6, 74462–74481 RSC.
  5. W. J. Bae, M. Trikeriotis, J. Sha, E. L. Schwartz, R. Rodriguez, P. Zimmerman, E. P. Giannelis and C. K. Ober, J. Mater. Chem., 2010, 20, 5186–5189 RSC.
  6. K. J. Lawrie, I. Blakey, J. P. Blinco, H. H. Cheng, R. Gronheid, K. S. Jack, I. Pollentier, M. J. Leeson, T. R. Younkin and A. K. Whittaker, J. Mater. Chem., 2011, 21, 5629–5637 RSC.
  7. A. L. Richard, M. T. Laren and L. H. Clifford, J. Vac. Sci. Technol., B., 2010, 28, C6S12–C6S18 Search PubMed.
  8. V. Canalejas-Tejero, S. Carrasco, F. Navarro-Villoslada, J. L. Garcia Fierro, M. d. C. Capel-Sanchez, M. C. Moreno-Bondi and C. A. Barrios, J. Mater. Chem. C, 2013, 1, 1392–1398 RSC.
  9. P. G. Reddy, N. Mamidi, P. Kumar, S. K. Sharma, S. Ghosh, K. E. Gonsalves and C. P. Pradeep, RSC Adv., 2016, 6, 67143–67149 RSC.
  10. V. Kalyani, V. S. V. Satyanarayana, V. Singh, C. P. Pradeep, S. Ghosh, S. K. Sharma and K. E. Gonsalves, Chem.–Eur. J., 2015, 21, 2250–2258 CrossRef CAS PubMed.
  11. B. Cardineau, R. Del Re, M. Marnell, H. Al-Mashat, M. Vockenhuber, Y. Ekinci, C. Sarma, D. A. Freedman and R. L. Brainard, Microelectron. Eng., 2014, 127, 44–50 CrossRef CAS.
  12. M. Sortland, J. Hotalen, R. D. Re, J. Passarelli, M. Murphy, T. S. Kulmala, Y. Ekinci, M. Neisser, D. A. Freedman and R. L. Brainard, J. Micro/Nanolithogr., MEMS, MOEMS, 2015, 14, 043511 CrossRef.
  13. K. Kasahara, H. Xu, V. Kosma, J. Odent, E. P. Giannelis and C. K. Ober, Proc. SPIE, 2017, 10143, 1014308 CrossRef.
  14. J. Haitjema, Y. Zhang, M. Vockenhuber, D. Kazazis, Y. Ekinci and A. M. Brouwer, J. Micro/Nanolithogr., MEMS, MOEMS, 2017, 16, 033510 CrossRef.
  15. V. S. V. Satyanarayana, F. Kessler, V. Singh, F. R. Scheffer, D. E. Weibel, S. Ghosh and K. E. Gonsalves, ACS Appl. Mater. Interfaces, 2014, 6, 4223–4232 CAS.
  16. G. R. Chagas, V. S. V. Satyanarayana, F. Kessler, G. K. Belmonte, K. E. Gonsalves and D. E. Weibel, ACS Appl. Mater. Interfaces, 2015, 7, 16348–16356 CAS.
  17. A. S. Gangnaik, Y. M. Georgiev and J. D. Holmes, Chem. Mater., 2017, 29, 1898–1917 CrossRef CAS.
  18. J. Passarelli, M. Murphy, R. Del Re, M. Sortland, L. Dousharm, M. Vockenhuber, Y. Ekinci, M. Neisser, D. A. Freedman and R. L. Brainard, Proc. SPIE, 2015, 9425, 94250T CrossRef.
  19. L. Li, S. Chakrabarty, K. Spyrou, C. K. Ober and E. P. Giannelis, Chem. Mater., 2015, 27, 5027–5031 CrossRef CAS.
  20. J. Cameron, J. Thackeray, J. W. Sung, S. Coley, V. Jain, O. Ongayi, M. Wagner, P. LaBeaume, A. Kwok, D. Valeri, M. Hellion, B. Icard, B. Dal'zotto, C. Sourd and L. Pain, in Extreme Ultraviolet, 2012, vol. 8322 Search PubMed.
  21. V. Singh, V. S. V. Satyanarayana, N. Batina, I. M. Reyes, S. K. Sharma, F. Kessler, F. R. Scheffer, D. E. Weibel, S. Ghosh and K. E. Gonsalves, J. Micro/Nanolithogr., MEMS, MOEMS, 2014, 13, 043002 CrossRef.
  22. P. G. Reddy, N. Thakur, C. L. Lee, S. W. Chien, C. P. Pradeep, S. Ghosh, K. Y. Tsai and K. E. Gonsalves, AIP Adv., 2017, 7, 085314 CrossRef.
  23. P. G. Reddy, P. Kumar, S. Ghosh, C. P. Pradeep, S. K. Sharma and K. E. Gonsalves, Mater. Chem. Front., 2017, 1, 2613–2619 RSC.
  24. B. Ravel and M. Newville, J. Synchrotron Radiat., 2005, 12, 537–541 CrossRef CAS PubMed.
  25. M. M. Brzhezinskaya, V. M. Morilova, E. M. Baitinger, S. E. Evsyukov and L. A. Pesin, Polym. Degrad. Stab., 2014, 99, 176–179 CrossRef CAS.
  26. W. E. S. Unger, A. Lippitz, C. Woll and W. Heckmann, Fresenius. J. Anal. Chem., 1997, 358, 89–92 CrossRef CAS.
  27. K. K. Okudaira, H. Yamane, K. Ito, M. Imamura, S. Hasegawa and N. Ueno, Surf. Rev. Lett., 2002, 9, 335–340 CrossRef CAS.
  28. J. L. Solomon, R. J. Madix and J. Stohr, Surf. Sci., 1991, 255, 12–30 CrossRef CAS.
  29. J. Stohr and D. A. Outka, Phys. Rev. B: Condens. Matter Mater. Phys., 1987, 36, 7891–7905 CrossRef CAS.
  30. X. Feng, M.-K. Song, W. C. Stolte, D. Gardenghi, D. Zhang, X. Sun, J. Zhu, E. J. Cairns and J. Guo, Phys. Chem. Chem. Phys., 2014, 16, 16931–16940 RSC.
  31. K. Kaznatcheev, P. Dudin, O. Lavrentovich and A. Hitchcock, Phys. Rev. E: Stat., Nonlinear, Soft Matter Phys., 2007, 76, 061703 CrossRef CAS PubMed.
  32. B. W. Yates and D. M. Shinozaki, J. Mater. Res., 1992, 7, 520–524 CrossRef CAS.
  33. E. Cortes, C. O. Della Vedova, M. Gerones, R. M. Romano and M. F. Erben, J. Phys. Chem. A, 2009, 113, 9624–9632 CrossRef CAS PubMed.
  34. S. Q. Lud, S. Neppl, G. Richter, P. Bruno, D. M. Gruen, R. Jordan, P. Feulner, M. Stutzmann and J. A. Garrido, Langmuir, 2010, 26, 15895–15900 CrossRef CAS PubMed.
  35. A. L. Sidelnikova, V. P. Andreichuk, L. A. Pesin, S. E. Evsyukov, I. V. e. Gribov, N. A. e. Moskvina and V. L. v. Kuznetsov, Polym. Degrad. Stab., 2014, 110, 308–311 CrossRef CAS.
  36. S. Brun, G. Guibert, C. Meunier, E. Guibert, H. Keppner and S. Mikhailov, Nucl. Instrum. Methods Phys. Res., Sect. B, 2011, 269, 2422–2426 CrossRef CAS.
  37. G. Nansé, E. Papirer, P. Fioux, F. Moguet and A. Tressaud, Carbon, 1997, 35, 175–194 CrossRef.
  38. O. Bubnova, Z. U. Khan, A. Malti, S. Braun, M. Fahlman, M. Berggren and X. Crispin, Nat. Mater., 2011, 10, 429–433 CrossRef CAS PubMed.
  39. G. Greczynski, T. Kugler, M. Keil, W. Osikowicz, M. Fahlman and W. R. Salaneck, J. Electron Spectrosc. Relat. Phenom., 2001, 121, 1–17 CrossRef CAS.
  40. J. Feng, G. Wen, W. Huang, E.-T. Kang and K. G. Neoh, Polym. Degrad. Stab., 2006, 91, 12–20 CrossRef CAS.
  41. T. Birchall, J. A. Connor and L. H. Hillier, J. Chem. Soc., Dalton Trans., 1975, 2003–2006 RSC.
  42. R. W. Joyner and F. Lincoln Vogel, Synth. Met., 1981, 4, 85–90 CrossRef CAS.
  43. D. Briggs and M. P. Seach, Practical Surface Analysis. Volume 1. Auger and X-ray Photoelectron Spectroscopy, John Wiley & Sons, Chichester, England, 1996 Search PubMed.
  44. J. F. Moulder, Handbook of X-ray Photoelectron Spectroscopy: A Reference Book of Standard Spectra for Identification and Interpretation of XPS Data, Physical Electronics Division, Perkin-Elmer Corporation, USA, 1992 Search PubMed.
  45. J. Park, R. Q. Yang, C. V. Hoven, A. Garcia, D. A. Fischer, T. Q. Nguyen, G. C. Bazan and D. M. DeLongchamp, Adv. Mater., 2008, 20, 2491–2496 CrossRef CAS.
  46. L. J. Gamble, B. Ravel, D. A. Fischer and D. G. Castner, Langmuir, 2002, 18, 2183–2189 CrossRef CAS.
  47. M. Kasrai, J. R. Brown, G. M. Bancroft, Z. Yin and K. H. Tan, Int. J. Coal Geol., 1996, 32, 107–135 CrossRef CAS.
  48. G. r. Sarret, J. Connan, M. Kasrai, G. M. Bancroft, A. Charrié-Duhaut, S. Lemoine, P. Adam, P. Albrecht and L. Eybert-Bérard, Geochim. Cosmochim. Acta, 1999, 63, 3767–3779 CrossRef CAS.
  49. L. S. Longo, E. F. Smith and P. Licence, ACS Sustainable Chem. Eng., 2016, 4, 5953–5962 CrossRef CAS.
  50. W. E. Morgan, W. J. Stec and J. R. Van Wazer, Inorg. Chem., 1973, 12, 953–955 CrossRef CAS.
  51. E. M. Sgibnev, N. V. Nikonorov and A. I. Ignat'ev, Opt. Spectrosc., 2017, 122, 133–138 CrossRef CAS.
  52. T. Tsukamoto, T. Shimada and S. Takagi, RSC Adv., 2015, 5, 8479–8485 RSC.
  53. H. Y. Liu, K. Y. Zhao, T. T. Wang, J. Y. Deng and H. P. Zeng, Mater. Sci. Semicond. Process., 2015, 40, 670–675 CrossRef CAS.
  54. M. Sortland, J. Hotalen, R. Del Re, J. Passarelli, M. Murphy, T. Kulmala and R. Brainard, J. Micro/Nanolithogr., MEMS, MOEMS, 2015, 14, 043511–043513 CrossRef.
  55. K. E. Gonsalves, S. Ghosh, C. P. Pradeep, P. G. Reddy, S. K. Sharma and P. Kumar, Highly Sensitive MAPDSM-MAPDST Based Resists Technology for Next Generation Lithography Applications, Indian Pat. Appl. 201611022219 A, 2016.

Footnotes

Electronic supplementary information (ESI) available: XPS C 1s and wide scan spectra of pristine hybrid n-CAR resist 2.15%-MAPDSA–MAPDST thin film and irradiated at 103.5 eV for 1, 5 and 15 min. See DOI: 10.1039/c7ra12934c
These authors contributed equally.

This journal is © The Royal Society of Chemistry 2018