Issue 3, 2005

Fabrication and characterization of high-temperature microreactors with thin film heater and sensor patterns in silicon nitride tubes

Abstract

In this paper the fabrication and electrical characterization of a silicon microreactor for high-temperature catalytic gas phase reactions, like Rh-catalyzed catalytic partial oxidation of methane into synthesis gas, is presented. The microreactor, realized with micromachining technologies, contains silicon nitride tubes that are suspended in a flow channel. These tubes contain metal thin films that heat the gas mixture in the channel and sense its temperature. The metal patterns are defined by using the channel geometry as a shadow mask. Furthermore, a new method to obtain Pt thin films with good adhesive properties, also at elevated temperatures, without adhesion metal is implemented in the fabrication process. Based on different experiments, it is concluded that the electrical behaviour at high temperatures of Pt thin films without adhesion layer is better than that of Pt/Ta films. Furthermore, it is found that the temperature coefficient of resistance (TCR) and the resistivity of the thin films are stable for up to tens of hours when the temperature-range during operation of the microreactor is below the so-called ‘burn-in’ temperature. Experiments showed that the presented suspended-tube microreactors with heaters and temperature sensors of Pt thin films can be operated safely and in a stable way at temperatures up to 700 °C for over 20 h. This type of microreactor solves the electrical breakdown problem that was previously reported by us in flat-membrane microreactors that were operated at temperatures above 600 °C.

Graphical abstract: Fabrication and characterization of high-temperature microreactors with thin film heater and sensor patterns in silicon nitride tubes

Article information

Article type
Paper
Submitted
24 Sep 2004
Accepted
17 Dec 2004
First published
13 Jan 2005

Lab Chip, 2005,5, 326-336

Fabrication and characterization of high-temperature microreactors with thin film heater and sensor patterns in silicon nitride tubes

R. M. Tiggelaar, J. W. Berenschot, J. H. de Boer, R. G. P. Sanders, J. G. E. Gardeniers, R. E. Oosterbroek, A. van den Berg and M. C. Elwenspoek, Lab Chip, 2005, 5, 326 DOI: 10.1039/B414857F

To request permission to reproduce material from this article, please go to the Copyright Clearance Center request page.

If you are an author contributing to an RSC publication, you do not need to request permission provided correct acknowledgement is given.

If you are the author of this article, you do not need to request permission to reproduce figures and diagrams provided correct acknowledgement is given. If you want to reproduce the whole article in a third-party publication (excluding your thesis/dissertation for which permission is not required) please go to the Copyright Clearance Center request page.

Read more about how to correctly acknowledge RSC content.

Social activity

Spotlight

Advertisements